About
Activity
- We are thrilled to welcome Lip-Bu Tan as Intel’s new CEO! Here's to Intel’s next era of innovation. 🤝 Learn more: https://intel.ly/4iqGitf
We are thrilled to welcome Lip-Bu Tan as Intel’s new CEO! Here's to Intel’s next era of innovation. 🤝 Learn more: https://intel.ly/4iqGitf
Liked bySai Vittal Battula
- I'm excited to share my capstone project from Zach Wilson's DataExpert.io Data Engineering bootcamp!Real-Time Train & Weather Monitoring…
I'm excited to share my capstone project from Zach Wilson's DataExpert.io Data Engineering bootcamp!Real-Time Train & Weather Monitoring…
Liked bySai Vittal Battula
- ✨Dreams do come true when you manifest them with belief and persistence✨I’m beyond excited to share a special milestone in my journey!From the…
✨Dreams do come true when you manifest them with belief and persistence✨I’m beyond excited to share a special milestone in my journey!From the…
Liked bySai Vittal Battula
Experience & Education
Intel Corporation
View Sai Vittal’s full experience
See their title, tenure and more.
or
By clicking Continue to join or sign in, you agree to LinkedIn’sUser Agreement,Privacy Policy, andCookie Policy.
Licenses & Certifications
Volunteer Experience
Communications Committee and Department Representative
Engineering Graduate Student Council at Columbia Engineering
-8 months
Education
I was elected to represent the electrical engineering department in the graduate student council at Columbia Engineering. I was also a member of the communications committee in the council working on drafting and sending newsletters.
Head of Academics and Research
IEEE Student Branch Executive Committee
-1 year 8 months
Science and Technology
Head of the Academics and Research wing of Mahindra University's IEEE student branch. I was also the webmaster for the executive committee.
Lead Designer and Web Developer
AETHER MEC
-3 months
Science and Technology
• I worked on developing a website for my college's annual techno-cultural fest named Aether.
• Professional website developed using HTML/CSS/JS.
• Led the core team of five people.
Past Website URL - https://aethermec.com
Current URL of the Website - https://saivittalb.com/aether-website-2019Open Source Developer
JBoss Community by Red Hat
-3 months
Science and Technology
• Participated in Google Code-in 2018, an event aimed at promoting Open Source.
• Worked on multiple projects majorly based on Angular, Android, Django, Node.js, Firebase, MongoDB, CI, and Chatbots.
• Was ranked 2nd among the organization members in the number of tasks completed.
Publications
Broadening of magnetocaloric effect at elevated temperatures in nanocrystalline ZnFe2O4 thin films
Results in Physics (Elsevier)
See publicationPublished in a peer-reviewed journal with an impact factor of 5.3 (2024).
Abstract:
The detection and characterization of the Magnetocaloric Effect (MCE) at the nanoscale and its subsequent impact on next-generation cooling on-chip devices are of paramount importance. Here, we demonstrate that the MCE properties housed within a ferrimagnetic phase arise in disordered ZnFe2O4. The robustness of the MCE in terms of magnetic entropy change ΔSmax (=0.07–0.09 J/kg-K at 0.5 T) emerges in…Published in a peer-reviewed journal with an impact factor of 5.3 (2024).
Abstract:
The detection and characterization of the Magnetocaloric Effect (MCE) at the nanoscale and its subsequent impact on next-generation cooling on-chip devices are of paramount importance. Here, we demonstrate that the MCE properties housed within a ferrimagnetic phase arise in disordered ZnFe2O4. The robustness of the MCE in terms of magnetic entropy change ΔSmax (=0.07–0.09 J/kg-K at 0.5 T) emerges in ZnFe2O4 thin films at larger temperature ranges 425–710 K, reaching above room temperature. By fitting the magnetization vs. temperature curves with a Gaussian-type distribution, we observed a correlation between the broadening of the MCE peak, Curie temperature (TC) distribution, and grain size distributions in nanocrystalline ZnFe2O4 thin films. The width of TC distributions increases (up to 150 K) and consequently, the ΔS curves show an extended full width at half maximum, resulting in larger relative cooling power (RCP), and a wider change in specific heat capacities (ΔCP) and adiabatic temperatures (ΔTad). This study reveals that tuning the relative strengths of the sublattice spin configuration of antiferromagnetic (AFM) ZnFe2O4 through in-situ and ex-situ heat treatment paves the way for the alteration of MCE properties.Leveraging of both positive and negative magnetocaloric effects in ZnFe2O4 layers
Materials Research Bulletin (Elsevier)
See publicationPublished in a peer-reviewed journal with an impact factor of 5.4 (2023).
Abstract:
The novel magnetocaloric thin film material with a tunable magnetic transition is the cornerstone of magnetic refrigeration, which plays a crucial role in cooling on-chip devices. Zn-ferrite (ZnFe2O4) occupies a significant place due to its interesting grain size-controlled magnetism. In this study, we investigate the magnetocaloric properties of Zn-ferrite layers consisting of a mixture of…Published in a peer-reviewed journal with an impact factor of 5.4 (2023).
Abstract:
The novel magnetocaloric thin film material with a tunable magnetic transition is the cornerstone of magnetic refrigeration, which plays a crucial role in cooling on-chip devices. Zn-ferrite (ZnFe2O4) occupies a significant place due to its interesting grain size-controlled magnetism. In this study, we investigate the magnetocaloric properties of Zn-ferrite layers consisting of a mixture of superparamagnetic (SPM), ferrimagnetic (FiM), and bulk-type antiferromagnetic (AFM) grains. Due to the co-existence of different magnetic grains, a crossover from the conventional magnetocaloric effect (MCE) to the inverse magnetocaloric effect (IMCE) is observed. The magnetic entropy change (-ΔSM) exhibits high-temperature positive values around the Curie temperature (TC) and low-temperature negative values around either the Néel temperature (TN) or blocking temperature (TB). The maximum value of -ΔSM (0.10 J/kg K) is almost double in AFM-dominant samples compared to SPM-dominant samples, while maintaining a relative cooling power (RCP) of 11.57−14.82 J/Kg under applied fields of 0−2T. FiM-dominant samples, with a wide working temperature window around room temperature, are suitable for large RCP applications. Thus, the discovery of new IMCE materials like single layers of Zn-ferrite is equally important in the search for suitable conventional MCE materials for magnetic refrigeration devices, which can exhibit multiple -ΔSM and RCP peaks in low and high temperatures.Exploration of room-temperature magnetocaloric effect in nanogranular Ni–Cr thin films
MRS Communications (Springer)
See publicationPublished in a peer-reviewed journal with an impact factor of 2.935 (2021) and a five-year impact factor of 2.714 (2021).
Abstract:
From multicomponent high-entropy alloys (AlCoCrFeNi) through ternary alloys (CrFeNi) to binary (NiCr) alloys, Cr doping plays a critical role in altering the magnetocaloric properties of the resultant alloys. Magnetocaloric effect (MCE) of Ni100−xCrx (x = 5, 10, 15 at.%) nanogranular thin films were investigated by varying Cr concentration, which…Published in a peer-reviewed journal with an impact factor of 2.935 (2021) and a five-year impact factor of 2.714 (2021).
Abstract:
From multicomponent high-entropy alloys (AlCoCrFeNi) through ternary alloys (CrFeNi) to binary (NiCr) alloys, Cr doping plays a critical role in altering the magnetocaloric properties of the resultant alloys. Magnetocaloric effect (MCE) of Ni100−xCrx (x = 5, 10, 15 at.%) nanogranular thin films were investigated by varying Cr concentration, which demonstrate near room-temperature magnetic entropy change (− ΔSM = 0.08 J/kg K) and relative cooling power (RCP = 25 J/kg) at 10 at.% Cr. The MCE properties are well predicted using a phenomenological model based on magnetization as a function of temperature, and they correlate well with experimentally measured magnetic isotherms.Competing Magnetic Interactions in Inverted Zn-Ferrite Thin Films
Magnetism (MDPI)
See publicationAbstract:
Zn-ferrite is a versatile material among spinels owing to its physicochemical properties, as demonstrated in rich phase diagrams, with several conductive or magnetic behaviors dictated by its cation inversion. The strength and the type of cation inversion can be manipulated through the various thermal treatment conditions. In this study, inverted Zn-ferrite thin films prepared from radio frequency magnetron sputtering were subjected to different in situ (in vacuum) and ex situ (in…Abstract:
Zn-ferrite is a versatile material among spinels owing to its physicochemical properties, as demonstrated in rich phase diagrams, with several conductive or magnetic behaviors dictated by its cation inversion. The strength and the type of cation inversion can be manipulated through the various thermal treatment conditions. In this study, inverted Zn-ferrite thin films prepared from radio frequency magnetron sputtering were subjected to different in situ (in vacuum) and ex situ (in air) annealing treatments. The temperature and field dependence of magnetization behaviors reveal multiple magnetic interactions compared to its bulk antiferromagnet behavior. Using the magnetic component model, the different magnetic interactions can be explained in terms of superparamagnetic (SPM), paramagnetic (PM), and ferrimagnetic (FM) contributions. At low temperatures, the SPM and FM contributions can be approximated to the hard and soft ferrimagnetic phases of Zn-ferrite, respectively, which changes with the annealing temperature and sputter power. Distinct magnetic properties emanating from in situ annealing compared to the ex-situ annealing were ascribed to the nonzero Fe2+/Fe3+ ratio, leading to the different magnetic interactions. The anisotropy was found to be the key parameter that governs the behavior of annealed in situ samples.Design of various Ni-Cr nanostructures and deducing their magnetic anisotropy
Applied Nanoscience (Springer)
See publicationPublished in a peer-reviewed journal with an impact factor of 3.674 (2020) and a five-year impact factor of 4.604 (2020).
Abstract:
Understanding the effects of interparticle interactions is a vital problem because magnetic nanoparticles showcase a variety of magnetic configurations due to different contributions to their total energy. To derive reliable and robust properties from magnetic nanoparticles, it is thus necessary to understand the competition between particle anisotropy…Published in a peer-reviewed journal with an impact factor of 3.674 (2020) and a five-year impact factor of 4.604 (2020).
Abstract:
Understanding the effects of interparticle interactions is a vital problem because magnetic nanoparticles showcase a variety of magnetic configurations due to different contributions to their total energy. To derive reliable and robust properties from magnetic nanoparticles, it is thus necessary to understand the competition between particle anisotropy and interparticle interactions that define the magnetic state of nanoparticles, where size control plays an important role. Here, we apply the random anisotropy model (RAM) that considers various magnetic interactions to selectively prepared NiCr nanostructures (NiCr dense nano-clusters, nano-granular NiCr thin films, and Ag(NiCr) nano-composites) with different interparticle interactions. The estimated single-particle magnetic anisotropy K values (2.82 – 12.3 × 10^4 J/m^3) and careful analysis of magnetization behavior for these nanostructures reveal that orbital hybridization, surface segregation, and interface character govern the magnetic interactions among nanoparticles. Our study demonstrates how magnetic behaviors vary in these different magnetic systems consisting of superparamagnetic (SPM) and ferromagnetic (FM) contributions specific to magnetic interactions.A Road up the Shimmering Blues
Swattle Publishing House
See publicationA contemporary fictional novel.
Ranked #9 out of millions of titles in "Biographical Fiction" and "Asian American Literature" on the Amazon US book store in February 2021.
Ranked #67 out of millions of titles in "Literature & Fiction" and #211 in the "Kindle Store" on the Amazon India book store in February 2021.
Viyon is a young gifted boy who considers himself under-confident despite outperforming his peers by a significant margin. When life was going pretty well, he wasn’t…A contemporary fictional novel.
Ranked #9 out of millions of titles in "Biographical Fiction" and "Asian American Literature" on the Amazon US book store in February 2021.
Ranked #67 out of millions of titles in "Literature & Fiction" and #211 in the "Kindle Store" on the Amazon India book store in February 2021.
Viyon is a young gifted boy who considers himself under-confident despite outperforming his peers by a significant margin. When life was going pretty well, he wasn’t happy, and suddenly, when things went south, his entirety became a question. This starts taking a huge toll on him; several clashes between his parents, unfortunate events forcing him to shift to one of the most brutal and dreadful schools in the country. This thrilling and captivating story follows the journey of Viyon trying to find himself and establish a part in life; in a world where the odds are unbalanced. The main objective for him—to learn to face his fears and doubts head-on so that they succeed in bringing out the star in him for the world to see.MergeURL: An Effective URL Merging and Shortening Service
International Journal of Computer Science and Mobile Computing
See publicationPublished in a journal with ISI Impact Factor of 1.536 (2019).
Abstract:
Multiple URLs belonging to the same purpose can become cumbersome to display, share, and handle. In this paper we describe MergeURL, an n-tier application to merge and shorten multiple URLs instantly overcoming the barriers of authentication and registration process while not compromising with security and resistance to data redundancy.
Projects
Hardware design of anomaly detecting AI for particle detectors
-
Referenced and customized an existing auto-encoder model. Synthesized modified model on Xilinx Alveo U55C FPGA accelerator card. Documented the process while comparing the FPGA vs. CPU execution in terms of power, latency, throughput, and accuracy. Collaborated with Princeton physicists and Fermilab.
Tools used: Python, hls4ml, C++Enhancing ADAM VLSI design flow with Cadence Conformal LEC
-
Integrated logical equivalence checking (LEC) into the current Advanced VLSI Design Automation and Methodology (ADAM) class flow using Cadence Conformal. LEC checks were conducted between key junctures of the flow: Register Transfer Level (RTL) to synthesis (Syn), synthesis to place and route (PnR), and RTL to PnR.
Tools used: Cadence Conformal, Genus, Innovus, TCL, PythonIntegration of SD card controller IP in ESP
-
See projectIntegrated an open-source SD card controller IP through an AHB to Wishbone bus allowing communication between the CPU tile of ESP (an open-source SoC model) and the SD card controller. Verified simulation waveforms to ensure design is FPGA ready.
Tools used: Verilog, ModelSim, C++Understanding network behavior of IoT devices
-
See projectSet up a diverse range of IoT devices for packet capture using Wireshark. Examined each device's security and privacy metrics by doing protocol analysis (network, transport, application layers), endpoint analysis, and device communication patterns. Additionally, worked on frontend of Thingscope, a tool generating audit reports from PCAP files.
Tools used: Wireshark, React.js, PythonDesign of an on-chip CMOS feedback amplifier that drives an oscilloscope input
-
Constructed an Operational-Transconductance-Amplifier (OTA) with a maximum supply current of 250 uA and a closed loop gain of 8. Performed DC simulation on the OTA to obtain DC operating points, transient analysis for the settling time and overshoot accuracy for the input step response, AC analysis for estimating different gains, and finally, estimated the integrated noise.
Tools used: Cadence Virtuoso, SpectreDesign of an 8-bit microprocessor core
-
Designed adder, shifter, SRAM memory, decoder, latch, MUX, and bus driver as a part of microprocessor core. Assembled these blocks and checked functionality of microprocessor with UltraSim and Spectre simulations. The schematics of each block were further hand-routed into layout. The layouts were ensured to be Design Rule Checking (DRC) and Layout-Versus-Schematic (LVS) clean. The final layout design was streamed out to a GDSII file.
Tools used: Cadence Virtuoso, UltraSim, SpectreFormal verification of a 16-bit SIMD processor
-
See projectPerformed thorough formal verification on a 16-bit SIMD processor written in Verilog. Devised custom SystemVerilog Assertions (SVA) to check numerous properties and functionality of processor.
Tools used: SystemVerilog Assertions, Verilog, Questa PropCheckOptimizing and synthesizing the DWARF-7 CNN accelerator
-
Modified a high-level-synthesis (HLS) model of the DWARF-7 Convolutional Neural Network (CNN) accelerator to a Pareto optimal level. Once a single assigned layer was refined, entire system was also improved. Furthermore, model was synthesized using Catapult HLS to meet low area and latency targets.
Tools used: SystemC, Catapult HLSUnderstanding various magnetic interactions in nanoscale Zn-ferrite layers and their application in magnetic tunnel junction
-
Inverted Zn-ferrite thin films prepared from radio frequency magnetron sputtering were subjected to different in-situ (in vacuum) and ex-situ (in air) annealing treatments. Using the magnetic component model, the different magnetic interactions can be explained in terms of superparamagnetic (SPM), paramagnetic (PM), and ferrimagnetic (FM) contributions. Distinct magnetic properties emanating from in-situ annealing compared to ex-situ annealing have been ascribed to the non-zero Fe2+/Fe3+ ratio,…
Inverted Zn-ferrite thin films prepared from radio frequency magnetron sputtering were subjected to different in-situ (in vacuum) and ex-situ (in air) annealing treatments. Using the magnetic component model, the different magnetic interactions can be explained in terms of superparamagnetic (SPM), paramagnetic (PM), and ferrimagnetic (FM) contributions. Distinct magnetic properties emanating from in-situ annealing compared to ex-situ annealing have been ascribed to the non-zero Fe2+/Fe3+ ratio, leading to the different magnetic interactions. The anisotropy is found to be the key parameter that governs the behavior of in-situ annealed samples.
Tools used: MATLAB, Jupyter NotebookSunrise simulation alarm
-
See projectAn Arduino Nano based sunrise simulating alarm clock. All interfacing is done through I2C protocol and the design works efficiently.
Developed as a part of PR 301 - Embedded Hardware Project under the supervision of Dr. KR Sarma and Dr. Bharghava Rajaram. The final report can be viewed in the provided project URL.
Tools used: Arduino, C, C++, FritzingMergeURL
-
A secure URL merging and shortening service which works for up to 5 different links.
Tech stack used: MongoDB, Flask, React.js, Node.jsOther creatorsSee projectatomeOS
-
See projectatomeOS enables eco-friendly computing out of the box to help reduce your carbon footprint by prolonging battery life, reducing resource load and power usage, and can even revive older computers without sacrificing the aesthetics or performance of the operating system.
Clever power management algorithms were employed to achieve this efficiency by defaulting the least time taking configurations based on the processor and environment.
Built on top of the Linux kernel and utilizes…atomeOS enables eco-friendly computing out of the box to help reduce your carbon footprint by prolonging battery life, reducing resource load and power usage, and can even revive older computers without sacrificing the aesthetics or performance of the operating system.
Clever power management algorithms were employed to achieve this efficiency by defaulting the least time taking configurations based on the processor and environment.
Built on top of the Linux kernel and utilizes the LXQt desktop environment. Compatible only with 64-bit architecture.CovSense
-
See projectAn Android based contact tracing app which enables people to self-isolate if they have been in close proximity to someone tested positive for COVID-19. The app uses a combination of Wi-Fi, Bluetooth, BluetoothLE and ultrasonic modem to communicate a unique-in-time pairing code between devices (using the Nearby Messages Google API).
Tech stack used: Android codebase in Java, Firebase Authentication, Firestore, Firebase Functions, Nearby Messages API
Honors & Awards
Winner of CE Challenge - GNOME
GNOME
Our project proposal for engaging beginning coders with the free and open-source software (FOSS) community was accepted among the top 20 from many applications around the world and was funded with $1000.
GNOME Community Engagement Challenge: https://www.gnome.org/challenge/winners/ (Phase One Winners. Team name: welOSS)Winner of Community Choice - EarthxHack
Earthx
CovSense was awarded the Community Choice at the prestigious EarthxHack 2020. Prize money of $400 was awarded.
EarthxHack: https://earthxhack20.devpost.com/project-gallery (Project: CovSense)World rank of 3,160 in World Memory Statistics
World Memory Statistics
As of February 2018.
4th Place - Bournvita Quiz Contest
Cadbury Bournvita Quiz Contest
I, and my friend, as a duo, qualified for the stage round by beating 1000s of teams around the country, after which, we ended up in 4th place.
Test Scores
GRE
Score: 322/340
Q: 169/170
V: 153/170
AWA: 4.5/6.0TOEFL
Score: 105/120
R: 28/30
L: 28/30
S: 25/30
W: 24/30
Languages
English
Native or bilingual proficiency
Hindi
Professional working proficiency
Telugu
Native or bilingual proficiency
French
Elementary proficiency
Organizations
On Deck Founders
Fellow
- PresentODF23https://www.beondeck.com
Merge Club
-
- Presenthttps://merge.club
IEEE
Student Member
-Vittbookpro
Founder
-• A non-profit aimed at providing a new world for developers; to teach members and provide a common space for everyone interested in programming.• Conducted various sessions and educated about 600 people in the basics of C++.• Headed the growth endeavors for the non-profit that led to 12000 community members at peak.
More activity by Sai Vittal
- Hello Connections,I hope you are doing well.I am pleased to share that I have returned to Apple as a full-time DRAM Engineer following my…
Hello Connections,I hope you are doing well.I am pleased to share that I have returned to Apple as a full-time DRAM Engineer following my…
Liked bySai Vittal Battula
- I’m delighted to share that I’ve joined Dell Technologies as a Senior Analyst, Engineering Program/Project Management with the Custom Design and…
I’m delighted to share that I’ve joined Dell Technologies as a Senior Analyst, Engineering Program/Project Management with the Custom Design and…
Liked bySai Vittal Battula
- Today we're launching SpoofSense Doc - a suite of deep learning models for advanced document liveness detection.Over 90% of document-based fraud…
Today we're launching SpoofSense Doc - a suite of deep learning models for advanced document liveness detection.Over 90% of document-based fraud…
Liked bySai Vittal Battula
View Sai Vittal’s full profile
- See who you know in common
- Get introduced
- Contact Sai Vittal directly
Other similar profiles
Shrey Sindher
Building FlexWash | ex-Byjus
JaipurConnect Kaushik Varanasi
Passionate about building observability solutions for modern software infrastructure
VisakhapatnamConnect Abhishek Kant
I help SAAS founders to build great Software Products | Full-Stack Developer
IndiaConnect Durgesh Satyam
Founder Supista | Helping businesses transform their data into Growth | Music is my Adrenaline
Delhi, IndiaConnect Sagar Surani
Square Infosoft | Ex - Toppr, Confirmtkt | BIT Mesra
SuratConnect Bhavneet Singh
@MoodToCode - Youtube | Co-Creating TapOnn Digital | Engineering Manager | Application Solution Architect Ex - Appinventiv, HostBooks
Delhi, IndiaConnect Arijeet Mukherjee
Software Development Engineer (SDE) @ ServiceNow | Former R&D Engineer @ Dassault Systèmes | JavaScript | ReactJS | Next.js | Node.js | Java | Arijeet Mukherjee
KolkataConnect Harsh Jain
All rounder techie, who loves to code, mentor and build.
MumbaiConnect Shivam Pokhriyal
New DelhiConnect Anshul Jain
Potsdam, NYConnect Siddharth Chopra
Madhya Pradesh, IndiaConnect raghav gupta
Founder at nodebinder
South DelhiConnect Ankit Kaushal
Web3 FullStack Developer | AWS Certified Solutions Architect | MERN | Solidity
IndiaConnect Rashmi Meena
working with Bharat digital (kartikengitech)
Delhi, IndiaConnect Rahil Desai
Software Engineer at Amazon | MSCS @ Northeastern University, Boston
Seattle, WAConnect Mohindharan Meenakshi Sundaram
IndiaConnect Mahesh G
BengaluruConnect Saravanan J S
Web Automation Expert
Chinthamani MaduraiConnect Ashish Geetanath Mishra
CTO @ EOOS.io - Cut spend waste from your MSP, VMS & Vendors
LucknowConnect
Explore more posts
S. K.M
🔧 Digital Verification Engineers, Are You Ready for a Change? 🔧If you've been clocking in and out like a synchronous counter 🕒 but craving more excitement, I’ve got the reset button you need! 🔁 Whether you’re into RTL, UVM, or just good ol' debugging, there’s a spot waiting for your unique talents! 🌟Let’s find a place where your skills can oscillate at their full potential. 💡💼 Drop me a message, and let’s design your next career move together! 🚀#DigitalVerification #CareerGoals #EngineerOpportunities
Mark Glasser
To my friends in India who are asking where they can get a copy of “Next Level Testbenches: Design Patterns in SystemVerilog and UVM:”My understanding is that KDP (Kindle Direct Publishing, the publishing arm of Amazon) does not have a print on demand plant in India. Instead, Amazon India buys books from distributors in the US and the UK and resells them in India. However, they only buy paperback books, not hardcover books. So, I put together a paperback edition with identical content as the hardcover edition. The paperback edition launched this week on Amazon with “extended distribution,” which gives permission to be sold through international distributors. I don’t know when it will reach the Indian market. The KDP website says it could take up to eight weeks to get through the distribution network. In the meantime, it’s available on amazon.com, amazon.uk, and other European markets.I’m still learning how the international publishing market works. Thank you for your patience.P.S. Ebooks were not in my original plans. There are some challenges converting a book formatted for print into an ebook. I’ll look into it, but cannot promise an ebook edition will be available.
6 CommentsSanjay Adhikari
I can share my experience based in India. As per my understanding :1: There will be high demand for VLSI background students or working professionals in the next five years due to semiconductor initiative.2: Once Indian semiconductor companies start manufacturing devices . There will be increased demand for embedded system background folks in validation.3: Semiconductor devices will be used for building embedded system platforms. It will increase the demand of hardware designers and firmware developers.4: For each silicon device there will be multiple hardware platforms. For each hardware platform, there will be multiple embedded application software opportunities. So demand for embedded application software folks will always increase.5: Will AI impact embedded software jobs ? I think it will help embedded software folks. Code generating tools exist for more than a decade and that didn't impact embedded software developers.Answer to Will firmware and embedded system hardware engineers be in higher demand than application software engineers? by Sanjay Kumar https://lnkd.in/gNbkCTCs#embedded #embedkari #opentowork
Charan Kopparla
On Day 45 of my 100 Days of RTL Coding, I focused on converting an SR flip-flop into a T flip-flop using Verilog. This conversion required reconfiguring the logic to achieve the toggling functionality of the T flip-flop while ensuring the Set and Reset inputs were appropriately utilized. Through this process, I deepened my understanding of flip-flop functionality and the relationships between different types of sequential circuits.Looking forward to more challenges and learning ahead!#RTL #Verilog #DigitalDesign #FlipFlop #100DaysOfRTL #Day45Here's my GitHub link : https://lnkd.in/gTfwFrhw
1 CommentSougata Bhattacharjee
How to start focussing and preparing for Semiconductor and AI from 2nd year of Engineering in ECE / EEE / CSE:[1] Mathematics:Not only essential for ML and VLSI but helps in Quantum Computing as well.Key concepts:a. Probability and Statistics - Bayes theorem, variance, standard deviation, Distributions, Regression, Bias, etc.b. Linear Algebra - Eigen values, Eigen vectors, Matrix operations.c. Complex Number - Complex Plane, Polar and exponential form, Fourier transform, Euler and De Moivre's theorem, Conjugate.[2] Digital Electronics:The most basic and fundamental subject for VLSI.Key Concepts:Boolean Algebra, Combinational and Sequential Circuit, FSM, Static Timing Analysis.Not covering in depth since already covered in earlier posts.[3] Analog Fundamentals:Key concepts:a.BJT, MOSFET, CMOS, Problems on CC, CE, CB, CS, CG and CD.b. Current Mirror, Amplifier, Oscillator, Implementing stick diagrams of basic gates and custom circuits, Drain calculation, triode and saturation region.[4] Algorithms:This subject is not essential and mandatory but when I look back i personally feel that this subject is a necessity to increase the intuitive thinking and problem solving approach.Key concepts:a. Divide and Conquer, Greedy, Dynamic Programming, Sorting and Searching, travelling Salesman, Dijkstra's, kruskal, etc.b. Complexity theory - P, NP, NP Hard and NP Complete.[5] HDL Coding:Verilog - Coding guidelines, Syntax and Semantics, Coding structure.The best way to learn is to start implementing the digital blocks like multiplexer, flip flops, basic gates, counters. If you don't have any tool use edaplayground.[6] Python:Libraries: Pytorch, pyuvm, NumPy, SciPy, scikit-learn.[7] Machine Learning:Key concepts:a. Supervised, Unsupervised, Reinforcement, Neural Networks, Gradient Descent, Deep learning, Back propagation.b. Frameworks like TPU and PyTorch.[8] SystemVerilog:a. Data types, Interface, Clocking Blocks, Modport, Virtual interface, Synthesizable and Non Synthesizable construct, Testbench infrastructure.[9] Protocols:AHB, APB, FIFO to start with.Interconnect the two domains of VLSI and AI by leveraging ML for Automation in Semiconductor and debug the Chip faster.#vlsi #asic #electricalengineering #electronics
19 CommentsSanjay Adhikari
I come across multiple folks every year who want to switch job profile. It becomes difficult to switch after 2 years if person is not ready to relocate and compromise with salary. This is one of the reasons I recommend students to try embedded software career path first. I have conducted several surveys in LinkedIn for awareness purpose. Learning firmware or embedded software will definitely add value to PCB design background folks. Experienced folks can explore management role after firmware development skills. We have many hardware background folks in our Developer kit community. They got better opportunities after learning firmware programming. Our Ultra kit (Six months live session) can definitely help such folks due to several features: a: Learn C in various levels starting from Level 1. It depends on various types of C used in embedded software development. It includes logic building and project based hands-on approach. We have enough testimonials of C skills improvement in both students and working professionals.b: With longer duration, we can provide learning material for various architectures, RTOS, Linux and testing as well. c: PCB design background folks can try to design complete product. We have provision for this as well based on my past experience.Answer :This is possible but the complete switch over to embedded software will take some time. There are several factors :1: If you are from an ECE background, you might have studied Microprocessor and Digital Electronics. If not, you have to study these two first.2: You have to work on your C skills and learn firmware development with ARM based MCU.3: If your current CTC is above 14 LPA , you have to first try to find firmware development in your organization.4: You may also explore the team lead kind of role where you get some opportunity to work in firmware development..5: RTOS and Linux Application development can add value to your profile.6: You have to be flexible for relocation.7: Learning firmware development will definitely add value to your profile even if you don't get an immediate opportunity to switch.Answer to I have been working as PCB's design engineer for the past 7 years. I would like to switch over to the embedded software field. Is it possible? by Sanjay Kumar https://lnkd.in/gWtyirVq#embedded #opentowork #pcbdesign #embedkari
1 CommentMark Benliyan
🚨 Calling all Graduates!NVIDIA released its New Grad ASIC Verification Engineer role.Here's what you need to know:⚙ Located in Santa Clara, CA⚙ Full-time pay is ~$92,000-$180,000/yr⚙ Full-time(40hrs/week)So if you have And have experience in SystemVerilog, C and/or C++, Python and/or PerlThen consider applying to NVIDIA now!Here's the link 👇https://lnkd.in/gK7MNtDWYou got this!__Found this useful? Consider re-sharing 🔁 and following me Mark Benliyan for more content like this!#newgrad #nvidia #coding #softwareengineering
1 CommentKumar Priyadarshi
From Light Switches to Laptops: How logic Gates Define the World of Digital VLSI Design?🚀 Imagine tiny switches that can be turned on or off with electricity. These are basically logic gates, the building blocks of digital circuits. They take electrical signals as inputs (like a light switch being flipped on or off), perform a simple logical operation on those signals, and then output a new signal based on that operation.🚀 Logic gates perform basic operations like AND, OR, and NOT:AND Gate: The output is on (1) ONLY if ALL inputs are on (1).OR Gate: The output is on (1) if ANY input is on (1).NOT Gate: The output is the inverse of the input, flipping the signal (if the input is 1, the output is 0, and vice versa).By combining these simple operations in different ways, you can create complex digital circuits for all sorts of functions in a computer or device.🚀 Light switch: Imagine a simple light controlled by two switches. You want the light to be on only if BOTH switches are flipped on (think of a hallway light needing two switches at either end). This can be achieved with an AND gate. One input comes from each switch, and the output from the AND gate goes to the light bulb. Only when both inputs (switches) are on (flipped) will the output (light) turn on.🚀 Security system: Let's say you have a security system with a motion sensor and a door sensor. You want an alarm to sound if EITHER the motion sensor detects movement OR the door is opened. This can be built with an OR gate. One input comes from the motion sensor and the other from the door sensor. If EITHER input (sensor) detects something (goes on), the output from the OR gate will trigger the alarm.🚀 Traffic light controller: This one requires a combination of gates. We can use an AND gate to ensure both the pedestrian button is pressed AND there are no cars detected to allow pedestrian crossing. Similarly, we can use an OR gate to ensure EITHER a timer runs out OR a car is detected to switch the light to green for traffic.🚀 Basic arithmetic: Logic gates can even be used for simple math. By combining AND, OR, and NOT gates in specific ways, you can create circuits that perform operations like addition or subtraction on binary numbers (0s and 1s). These are the building blocks for more complex arithmetic units in computers.A detailed post is in comments. For all semiconductor and AI related content, follow TechoVedas
9 CommentsCharan Kopparla
Today's milestone is the design of a Synchronous FIFO (First In, First Out) using Verilog. A synchronous FIFO is a key component in digital systems, acting as a buffer to store and transfer data between components operating on the same clock domain.This implementation includes robust handling of read and write pointers, full and empty flags, and precise control over data flow. It ensures smooth and efficient data management, crucial for applications like communication protocols, data streaming, and pipelines.Each project sharpens my Verilog skills and understanding of RTL design. Progressing steadily toward the 100-day goal!#RTL #Verilog #FIFO #SynchronousFIFO #DigitalDesign #100DaysOfRTL #Day83Here's my GitHub link : https://lnkd.in/gTfwFrhw
1 CommentShailja M
Just a thought RTL Design has few logics which is not required as per the design specifications, this portion of code gets excluded in code coverage analysis.By knowing this fact we still synthesis the logic and it increases the gate counts. but functionaly this logic has no use. Now can this be a area for AI based tool to discard that portion of logic during synthesis and we reduce the gate count?
Deepa Savant
Hello Linkedin Fam,Rivos Inc. India (Bengaluru) is looking to hire experienced professionals in #Ethernet #PCIe #PCIExpress #PCI #Accelerator #Fabric #CPU #FloatingPoint #Debug #Trace #Cache #DDR #DFI #MemoryController #DesignVerification #DV. If this is something which interests you; please ping with updated resumes. #3+ years ~ 14 yearsApart from Design Verification; there are vacancies for experienced professionals in #PhysicalDesign #CPU #SOC #PDV #PhysicalVerification #EMIR #SRAM #MemoryDesign #DFT #DesignForTest #Emulation #AcceleratorVerification #NOC Please like, share and help pass on the information to reach wider audience. Thank you all :) 😊 #jobopening #techjobs #engineeringcareers #RISC-V #accelerator #software #firmware #server #startuphiring
Sougata Bhattacharjee
AXI is a multi channel bus which is popularly used as an interfacing protocol between multiple IP's and is useful both in RTL design and ASIC Verification.Below are the key concepts which are needed to understand AXI protocol in depth.[1] Difference between AXI and AHB. Number of Channels used in AXI. Why there is no separate Read response on AXI.[2] What are out of order and outstanding transaction in AXI.[3] What is the address boundary that slave can access in AXI.[4] Transfer mechanism for Write / Read with / without delay.[5] Valid and Ready hand shaking mechanism. What is deadlock condition. How to overcome it.[6] Difference b/w Incrementing and Wrapping Burst. What is a Fixed Burst type.[7] Difference b/w Bufferable and Cacheable type of transaction in AXI.[8] Complete understanding of Exclusive access phenomenon. Difference b/w OKAY and EXOKAY response.[9] What is a Locked access in AXI3. Why it is removed in AXI4.[10] What are the conditions for SLVERR and DECERR.[11] What is a QOS support for AXI4. What are the significance of AxREGION and AxUSER in AXI4.[12] Difference b/w AXI3 and AXI4.[13] What is a write interleaving method in AXI3 and why it's removed in AXI4.#vlsi #asic #semiconductorindustry #electricalengineering
3 CommentsPushpendra Singh
Hey folks!During my time at IIT Kanpur, many people asked me about analog design simulations, often wondering if there were any efficient, open-source tools available to create real-world designs. Well, the wait is over!I’m excited to introduce Xschem and Ngspice, two powerful tools for analog IC design. In this video, I walk you through the Xschem installation on a Linux machine.But that’s just the beginning! Up next, I’ll cover Ngspice, Open PDK, and Magic VLSI tool installation. After that, I’m launching a series on analog IC design — starting from basic simulations to designing real circuits.Stay connected, subscribe to my channel, and don’t forget to hit the bell icon for updates! Also, please share with all your fellow analog enthusiasts.#AnalogDesign #Xschem #Ngspice #VLSI #ICDesign #OpenSourceEDA #ElectronicsEngineering #SimulationTutorials #TechCommunity #LinuxTools
11 CommentsMABI NADAF
Dear Chip Designers,...In the fast faced Semiconductor Industry, A Strong Individuals can Resolve Perceived Weaknesses in Teams. A New hire team lead can make or break the entire team, I have seen this multiple times. In the dynamic landscape of the Semiconductor industry, success often hinges on the collective strength of teams. However, even the most skilled teams may face challenges when dealing with perceived weaknesses among members.In this, lets delve into the transformative power of strong individuals in resolving these issues, ultimately fostering a culture of collaboration, innovation, and success.Identifying Perceived Weaknesses:Before delving into solutions, it's crucial to identify the perceived weaknesses that may hinder team performance. These can range from lack of technical proficiency to communication barriers or even interpersonal conflicts.The Role of Strong Individuals:Strong individuals possess a unique set of qualities that can significantly impact team dynamics.These individuals are not only technically proficient but also exhibit strong leadership, communication, and problem-solving skills. Their presence within teams can serve as a catalyst for positive change, bridging gaps and inspiring others to elevate their performance.Key Solutions and Strategies:Lead by Example: Strong individuals lead not only through their words but also through their actions. By setting high standards and demonstrating commitment to excellence, they inspire others to follow suit.Facilitate Open Communication: Effective communication is the cornerstone of successful teams.Strong individuals actively foster an environment where team members feel comfortable expressing their ideas, concerns, and feedback.Offer Mentorship and Support: Strong individuals recognize the importance of nurturing talent within the team. They willingly share their knowledge and experiences, empowering others to grow and develop their skills.Address Conflict Constructively: Conflict is inevitable within any team, but strong individuals approach it as an opportunity for growth rather than a hindrance.They facilitate constructive discussions and work towards finding mutually beneficial solutions.Celebrate Diversity: Strong individuals appreciate the value of diversity in perspectives and backgrounds. They actively seek out input from all team members, recognizing that innovation thrives in an inclusive environment.Case Studies and Success Stories:To illustrate the effectiveness of leveraging strong individuals within teams, we present real-life case studies from leading semiconductor companies.These stories highlight how the presence of strong individuals has transformed teams, overcoming challenges and achieving remarkable results.As we move forward, let us recognize and empower these individuals to drive positive change and propel our industry towards new heights.#semiconductor #Semiconductors #Chip #VLSI
Sougata Bhattacharjee
Basic and Simple Blueprint to structure a project in UVM [Important for VLSI Professionals]UVM is a preferred verification language due to its reusability, scalability, interoperability, CRV, proper phasing, etc.Below are the most simplest basic steps mentioned as how to develop a TB infrastructure from scratch.Step 1: Documentation✓ Go through the specification thoroughly and prepare the Verification Plan, Test Plan, Assertion Plan and understand the working of the IP or protocol.Step 2: Coding✓ Code the interface by listing all the I/O port. Code the Clocking block to avoid race condition, synchronization and direction to TB. Optionally code the Modport.***Note: Cautiously use the input / output skew and the clockvarThere are certain rules while using the Clocking block and modport which someone needs to follow.****✓ Code the transaction class and use the randomization wherever applicable. Try to avoid the field macros and instead use proper methods.**General thumb rule the input should be rand.Moreover try to use the do_copy, do_ compare, convert2string, do_print methods instead of using field macros which has a overhead**✓ Code the driver logic for the pin level activity at DUT. Use sequencer driver handshake properly depending on pipelined / non pipelined access.***Use proper coding guidelines while coding driver logic***✓ Code the Sequencer and use arbitration mechanism if needed.✓ Code the Monitor to handle the transaction. The analysis port first needs to be connected to Agent and then to other subscribers like scoreboards, etc.✓ Build the agent and give proper instantiation for Driver, monitor, and Sequencer. Depending on application the Agent can be chosen as either active or passive.✓ Code the env class and give proper connection.✓ Write some basic sequence items to check the flow along with base test class. While coding the sequence items number of methods can be used to execute the sequence.** While using the sequence use start_item/finish_item instead of `uvm_do macro **✓ Code the scoreboard either with the help of tlm fifo, associative array, queue depending on whether it's used in order or out of order comparator. The coding style will change depending on need.** While designing the Scoreboard make sure the predictor and evaluator are separate**There are almost 6 to 7 different methods of designing a scoreboard✓ Code the top module and use of run_test and set the config db.***Above are some of the very basic steps mentioned but ideally the testbench will be complex and use many more concepts which are not mentioned above. Some of them are:✓ Virtual Sequencer and Virtual Sequences.✓ RAL✓ Callbacks✓ Objection mechanism✓ Config and resource db✓ Coverage✓ Assertions#vlsi #asic #electronics #electricalengineering
3 CommentsPriya Ananthakrishnan
#fundamentalseries UVMMonitor Classes7. uvm_monitor * Observes DUT signals and converts them into transactions. * Sends transactions to other components like scoreboards and coverage collectors. * Passive component, does not drive signals.Environment Classes8. uvm_env * Container class for grouping related UVM components (e.g., agents, scoreboards). * Typically represents a complete verification environment for a specific DUT.Agent Classes9. uvm_agent * Groups related driver, sequencer, and monitor components. * Can be active (contains a driver and sequencer) or passive (contains only a monitor).Reporting Classes10. uvm_report_object * Provides reporting utilities for printing messages, warnings, and errors. * Base class for components that need reporting capabilities.11. uvm_report_server * Centralized reporting mechanism. * Collects and processes messages from uvm_report_object instances.Scoreboard and Analysis Classes12. uvm_scoreboard * Analyzes DUT outputs and compares them with expected results. * Receives transactions from monitors via analysis ports.13. uvm_analysis_port * Used for broadcasting transactions to one or more analysis components. * Example: uvm_analysis_port #(T)where T is the transaction type.14. uvm_analysis_imp * Implements the analysis export interface. * Used to connect analysis ports to scoreboards or other analysis components.#uvm #uvmmethodology #fundamentalseries #uvmconcepts #uvmsyntax #verification #testbenches #verificationengineer #training #mirafratechnologies
1 Comment💫Dr. Sirisha K.
How will AI impact and enable the semiconductor industry and what jobs exist in semiconductor industry ?Listen to this brief chat with Data &AI expert Lekhana Reddy on salaries and more..Where do you see AI impacting the semiconductor industry...would love to hear your thoughts below 👇🏾 https://lnkd.in/gb7rhvFH#semiconductorindustry #semiconductorsalaries #data hashtag#ai hashtag#genai
Ratan Abhinav Sharma
UVM Based Test Bench Environment for Verification of APB ProtocolYet another project I had undertaken to enhance my skills in understanding and building effective UVM test bench environments from scratch. APB (Advanced Peripheral Bus) is part of the ARM AMBA (Advanced Microcontroller Bus Architecture) protocol family, designed for low-power, low-bandwidth communication with peripherals in a system-on-chip (SoC). APB is typically used for connecting to peripherals that do not require high-speed access, such as UARTs, timers, GPIOs, and other registers.About my project:1. Designed an APB RAM in SystemVerilog capable of storing and sending a total of 32 data elements each having a size of 32-bits2. Built UVM test bench components and the entire Verification Environment from scratch to verify functionality of APB protocol.3. Generated sequences for Write and Read operations in valid and invalid address ranges each having 15 transactions. Successfully verified functionality through behavioral simulation in Xilinx Vivado. Link for my project: https://lnkd.in/gVCkaNWF#rtldesign #rtlverification #vlsi #uvm #systemverilog #apb
Vikas Sachdeva
My Wishlist for EDA Tool Debugging Improvements 🛠️✨As someone who has worked across the VLSI spectrum—from architecture, design, and simulation to verification, formal methods, synthesis, STA, and physical design—I’ve noticed a significant gap in one critical area: debugging 🧐. While EDA tools have made strides in capacity and algorithmic improvements, debugging hasn’t kept up with user expectations.💡 Did you know? Studies show that most of the time of an engineer’s time is spent debugging. Think about the cost in terms of productivity and resources! Debugging inefficiencies don’t just waste time—they drain budgets 💸.Contrast this with modern mobile apps: when you click a button, complex calculations happen seamlessly in the background, delivering instant results. EDA tools? Not quite there yet.🚀 My Wishlist for Next-Level EDA Debugging1️⃣ Radical Improvements in Debugging Efficiency Incremental changes won’t cut it anymore. We need a 10X leap in debugging capabilities across the board. Time to disrupt the status quo! ⚡2️⃣ Seamless Collaboration Across Tools & Teams 🤝 Debugging should work across tools and teams:Start in an STA tool and seamlessly continue in a PD tool without losing context.Allow one engineer to pick up where another left off, enabling collaborative workflows.3️⃣ AI-Driven Triage & Root Cause Analysis 🤖 Let AI do the heavy lifting!Automatically triage regressions and link them to root causes.Use intelligent agents to save engineers from chasing issues manually, allowing more focus on innovation.Let’s rethink EDA debugging for the future 🌟. It’s time for tools to enable us, not hold us back. What’s on your debugging wishlist? Let’s connect and discuss!#EDA #VLSI #DebuggingRevolution #Innovation #TechWishList #Semiconductor #AI #ProductivityBoost #Engineering
2 Comments
Explore collaborative articles
We’re unlocking community knowledge in a new way. Experts add insights directly into each article, started with the help of AI.
Explore More