Movatterモバイル変換


[0]ホーム

URL:


US20220013624A1 - DRAM Capacitor Module - Google Patents

DRAM Capacitor Module
Download PDF

Info

Publication number
US20220013624A1
US20220013624A1US17/487,459US202117487459AUS2022013624A1US 20220013624 A1US20220013624 A1US 20220013624A1US 202117487459 AUS202117487459 AUS 202117487459AUS 2022013624 A1US2022013624 A1US 2022013624A1
Authority
US
United States
Prior art keywords
capacitor
dielectric material
pillars
self
aligned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US17/487,459
Inventor
Uday Mitra
Regina FREED
Ho-Yung David Hwang
Sanjay Natarajan
Lequn Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micromaterials LLC
Original Assignee
Micromaterials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micromaterials LLCfiledCriticalMicromaterials LLC
Priority to US17/487,459priorityCriticalpatent/US20220013624A1/en
Assigned to MICROMATERIALS LLCreassignmentMICROMATERIALS LLCASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: NATARAJAN, SANJAY, LIU, LEQUN, FREED, REGINA, HWANG, HO-YUNG DAVID, MITRA, UDAY
Publication of US20220013624A1publicationCriticalpatent/US20220013624A1/en
Abandonedlegal-statusCriticalCurrent

Links

Images

Classifications

Definitions

Landscapes

Abstract

Methods of forming and processing semiconductor devices are described. Certain embodiments relate to the formation of self-aligned DRAM capacitors. More particularly, certain embodiments relate to the formation of self-aligned DRAM capacitors utilizing the formation of self-aligned growth pillars. The pillars lead to greater capacitor heights, increase critical dimension uniformity, and self-aligned bottom and top contacts.

Description

Claims (8)

What is claimed is:
1. A DRAM capacitor comprising:
a capacitor bottom contact and a first dielectric material on a substrate;
a second dielectric material on the first dielectric material;
a fourth dielectric material on the second dielectric material;
a capacitor memory channel formed through the fourth dielectric material, the second dielectric material, and the first dielectric material; and
a capacitor formed in the capacitor memory channel,
wherein the capacitor is self-aligned with the capacitor bottom contact.
2. The DRAM capacitor ofclaim 1, wherein the capacitor comprises a first conductive material, a third dielectric material on the first conductive material, and a second conductive material on the third dielectric material.
3. The DRAM capacitor ofclaim 1, wherein the capacitor has a top and a bottom, and a critical dimension of the top is substantially the same as a critical dimension of the bottom.
4. The DRAM capacitor ofclaim 1, wherein the first dielectric material and the second dielectric material independently comprise one or more of silicon oxide and silicon nitride.
5. The DRAM capacitor ofclaim 1, wherein the capacitor bottom contact comprises one or more of a metal, a metal silicide, poly-silicon, and EPI-silicon.
6. The DRAM capacitor ofclaim 2, wherein the first conductive material comprises one or more of metal mode titanium (MMTi), metal silicide, and highly doped poly-silicon.
7. The DRAM capacitor ofclaim 2, wherein the third dielectric material comprises a high-κ dielectric selected from one or more of aluminum oxide, hafnium oxide, and aluminum hafnium oxide (AlHfOx).
8. The DRAM capacitor ofclaim 2, wherein the second conductive material comprises one or more of poly-silicon, metal, and metal silicide.
US17/487,4592019-03-262021-09-28DRAM Capacitor ModuleAbandonedUS20220013624A1 (en)

Priority Applications (1)

Application NumberPriority DateFiling DateTitle
US17/487,459US20220013624A1 (en)2019-03-262021-09-28DRAM Capacitor Module

Applications Claiming Priority (3)

Application NumberPriority DateFiling DateTitle
US201962823977P2019-03-262019-03-26
US16/826,597US11164938B2 (en)2019-03-262020-03-23DRAM capacitor module
US17/487,459US20220013624A1 (en)2019-03-262021-09-28DRAM Capacitor Module

Related Parent Applications (1)

Application NumberTitlePriority DateFiling Date
US16/826,597DivisionUS11164938B2 (en)2019-03-262020-03-23DRAM capacitor module

Publications (1)

Publication NumberPublication Date
US20220013624A1true US20220013624A1 (en)2022-01-13

Family

ID=72604740

Family Applications (2)

Application NumberTitlePriority DateFiling Date
US16/826,597ActiveUS11164938B2 (en)2019-03-262020-03-23DRAM capacitor module
US17/487,459AbandonedUS20220013624A1 (en)2019-03-262021-09-28DRAM Capacitor Module

Family Applications Before (1)

Application NumberTitlePriority DateFiling Date
US16/826,597ActiveUS11164938B2 (en)2019-03-262020-03-23DRAM capacitor module

Country Status (1)

CountryLink
US (2)US11164938B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
CN112951770B (en)*2021-04-152022-06-10长鑫存储技术有限公司 Storage method and storage method

Citations (24)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US20020005542A1 (en)*2000-07-142002-01-17Katsuya HayanoManufacturing method of semiconductor integrated circuit device, and semiconductor integrated circuit device
US20040043332A1 (en)*2002-08-282004-03-04Fujitsu LimitedMethod of manufacturing semiconductor device, and method of forming resist pattern
US9577030B2 (en)*2011-03-042017-02-21Intel CorporationSemiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
US9997520B2 (en)*2015-07-312018-06-12Taiwan Semiconductor Manufacturing Co., Ltd.Semiconductor device structure with capacitor and method for forming the same
US10020311B1 (en)*2017-08-022018-07-10Ap Memory Technology CorporationSemiconductor memory device provided with DRAM cell including two transistors and common capacitor
US10020360B1 (en)*2017-01-062018-07-10Micron Technology, Inc.Integrated memory
US10032778B2 (en)*2016-12-192018-07-24Samsung Electronics Co., Ltd.Semiconductor device
US10079277B2 (en)*2016-11-282018-09-18United Microelectronics Corp.Method of fabricating metal-insulator-metal capacitor
US10177152B1 (en)*2017-07-212019-01-08Micron Technology, Inc.Integrated assemblies comprising stud-type capacitors
US10229866B2 (en)*2015-06-222019-03-12Intel CorporationOn-chip through-body-via capacitors and techniques for forming same
US10283509B2 (en)*2016-12-092019-05-07Samsung Electronics Co., Ltd.Semiconductor device and method for fabricating the same
US10304838B2 (en)*2017-01-242019-05-28Samsung Electronics Co., Ltd.Semiconductor device
US10312241B1 (en)*2018-04-272019-06-04Micron Technology, Inc.Integrated memory and integrated assemblies
US10355073B2 (en)*2016-07-132019-07-16Samsung Electronics Co., Ltd.Semiconductor device
US10593559B2 (en)*2014-01-172020-03-17Nanya Technology CorporationEtching process in capacitor process of DRAM using a liquid etchant composition
US10607855B2 (en)*2017-07-192020-03-31Samsung Electronics Co., Ltd.Method for fabricating semiconductor device using a hybrid mask pattern
US10818670B2 (en)*2018-08-162020-10-27Winbond Electronics Corp.Memory device and method for manufacturing the same
US11211385B2 (en)*2020-02-252021-12-28Nanya Technology CorporationSemiconductor device and manufacturing method thereof
US11217587B2 (en)*2019-06-052022-01-04Winbond Electronics Corp.Semiconductor device with capacitor contact surrounded by conductive ring and manufacturing method of the semiconductor device
US11233056B2 (en)*2018-12-132022-01-25Xia Tai Xin Semiconductor (Qing Dao) Ltd.Semiconductor device and method fabricating the same
US11264389B2 (en)*2020-06-032022-03-01Nanya Technology CorporationStack capacitor structure and method for forming the same
US11322504B2 (en)*2018-06-282022-05-03Intel CorporationFerroelectric-capacitor integration using novel multi-metal-level interconnect with replaced dielectric for ultra-dense embedded SRAM in state-of-the-art CMOS technology
US11380688B2 (en)*2017-01-272022-07-05Semiconductor Energy Laboratory Co., Ltd.Capacitor, semiconductor device, and manufacturing method of semiconductor device
US11488957B1 (en)*2021-04-272022-11-01Nanya Technology CorporationSemiconductor structure and method for manufacturing the same

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US4671970A (en)1986-02-051987-06-09Ncr CorporationTrench filling and planarization process
KR0165813B1 (en)1995-04-121999-02-01문정환 Plug Formation of Connection Hole
US5872052A (en)1996-02-121999-02-16Micron Technology, Inc.Planarization using plasma oxidized amorphous silicon
KR100223334B1 (en)1996-06-291999-10-15김영환 Metal wiring formation method of semiconductor device
US6251740B1 (en)*1998-12-232001-06-26Lsi Logic CorporationMethod of forming and electrically connecting a vertical interdigitated metal-insulator-metal capacitor extending between interconnect layers in an integrated circuit
US6441419B1 (en)*1998-03-312002-08-27Lsi Logic CorporationEncapsulated-metal vertical-interdigitated capacitor and damascene method of manufacturing same
US6417535B1 (en)*1998-12-232002-07-09Lsi Logic CorporationVertical interdigitated metal-insulator-metal capacitor for an integrated circuit
US6143653A (en)1998-10-042000-11-07Promos Technologies, Inc.Method of forming tungsten interconnect with tungsten oxidation to prevent tungsten loss
KR20000026588A (en)1998-10-212000-05-15윤종용Semiconductor device having contact holes and method for manufacturing the same
US6130151A (en)1999-05-072000-10-10Taiwan Semiconductor Manufacturing CompanyMethod of manufacturing air gap in multilevel interconnection
JP2001015479A (en)1999-06-292001-01-19Toshiba Corp Method for manufacturing semiconductor device
EP1203406A1 (en)1999-08-182002-05-08Steag RTP Systems, Inc.Method of producing copper features on semiconductor wafers
US6576113B1 (en)1999-10-292003-06-10California Institute Of TechnologyMethod of electroplating of high aspect ratio metal structures into semiconductors
US6373087B1 (en)2000-08-312002-04-16Agere Systems Guardian Corp.Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses
US7192803B1 (en)2000-10-132007-03-20Bridge Semiconductor CorporationMethod of making a semiconductor chip assembly with simultaneously formed interconnect and connection joint
US6271084B1 (en)*2001-01-162001-08-07Taiwan Semiconductor Manufacturing CompanyMethod of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US6653200B2 (en)2001-01-262003-11-25Applied Materials, Inc.Trench fill process for reducing stress in shallow trench isolation
JP2002252281A (en)2001-02-272002-09-06Sony CorpSemiconductor device and its fabrication method
US6528884B1 (en)2001-06-012003-03-04Advanced Micro Devices, Inc.Conformal atomic liner layer in an integrated circuit interconnect
KR100531419B1 (en)*2001-06-122005-11-28주식회사 하이닉스반도체semiconductor device and method for fabricating the same
US7279119B2 (en)2001-06-142007-10-09Ppg Industries Ohio, Inc.Silica and silica-based slurry
US6620701B2 (en)*2001-10-122003-09-16Infineon Technologies AgMethod of fabricating a metal-insulator-metal (MIM) capacitor
KR100471164B1 (en)*2002-03-262005-03-09삼성전자주식회사Semiconductor device having metal-insulator-metal capacitor and fabrication method thereof
EP1490529A1 (en)2002-03-282004-12-29President And Fellows Of Harvard CollegeVapor deposition of silicon dioxide nanolaminates
US6750499B2 (en)2002-08-062004-06-15Intelligent Sources Development Corp.Self-aligned trench-type dram structure and its contactless dram arrays
US8298933B2 (en)2003-04-112012-10-30Novellus Systems, Inc.Conformal films on semiconductor substrates
US7276787B2 (en)2003-12-052007-10-02International Business Machines CorporationSilicon chip carrier with conductive through-vias and method for fabricating same
US7211844B2 (en)2004-01-292007-05-01International Business Machines CorporationVertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
CN100466197C (en)2004-03-162009-03-04石川岛播磨重工业株式会社Method for manufacturing semiconductor device
US7521378B2 (en)2004-07-012009-04-21Micron Technology, Inc.Low temperature process for polysilazane oxidation/densification
US7820020B2 (en)2005-02-032010-10-26Applied Materials, Inc.Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US8324660B2 (en)2005-05-172012-12-04Taiwan Semiconductor Manufacturing Company, Ltd.Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2007005381A (en)2005-06-212007-01-11Matsushita Electric Ind Co Ltd Plasma etching method and plasma etching apparatus
US7393789B2 (en)2005-09-012008-07-01Micron Technology, Inc.Protective coating for planarization
US7351648B2 (en)2006-01-192008-04-01International Business Machines CorporationMethods for forming uniform lithographic features
US7368394B2 (en)2006-02-272008-05-06Applied Materials, Inc.Etch methods to form anisotropic features for high aspect ratio applications
US7288463B1 (en)2006-04-282007-10-30Novellus Systems, Inc.Pulsed deposition layer gap fill with expansion material
US7956465B2 (en)2006-05-082011-06-07Taiwan Semiconductor Manufacturing Company, Ltd.Reducing resistivity in interconnect structures of integrated circuits
JP2008108757A (en)2006-10-232008-05-08Matsushita Electric Works LtdCompound semiconductor light-emitting element, illumination apparatus employing the same and manufacturing method of compound semiconductor element
US7598170B2 (en)2007-01-262009-10-06Asm America, Inc.Plasma-enhanced ALD of tantalum nitride films
US20080242097A1 (en)2007-03-282008-10-02Tim BoesckeSelective deposition method
FR2914498A1 (en)*2007-04-022008-10-03St Microelectronics Sa MAKING 3-DIMENSIONAL MIM CAPACITORS IN THE LAST METAL LEVEL OF AN INTEGRATED CIRCUIT
US20090017631A1 (en)2007-06-012009-01-15Bencher Christopher DSelf-aligned pillar patterning using multiple spacer masks
WO2008153674A1 (en)2007-06-092008-12-18Boris KobrinMethod and apparatus for anisotropic etching
US20090072409A1 (en)2007-09-142009-03-19International Business Machines CorporationInterconnect Structures Incorporating Air-Gap Spacers
US7541297B2 (en)2007-10-222009-06-02Applied Materials, Inc.Method and system for improving dielectric film quality for void free gap fill
US20100330805A1 (en)2007-11-022010-12-30Kenny Linh DoanMethods for forming high aspect ratio features on a substrate
US7985977B2 (en)2007-12-112011-07-26Hvvi Semiconductors, Inc.Sacrificial pillar dielectric platform
KR101477661B1 (en)2008-07-172014-12-31삼성전자주식회사Seam-free tungsten pattern using a tungsten regrowing and method for manufacturing the same
US8169031B2 (en)2008-08-262012-05-01International Business Machines CorporationContinuous metal semiconductor alloy via for interconnects
US8101456B2 (en)2008-10-012012-01-24International Business Machines CorporationMethod to reduce a via area in a phase change memory cell
KR101026486B1 (en)2008-10-222011-04-01주식회사 하이닉스반도체 Semiconductor device and manufacturing method thereof
JP5133852B2 (en)2008-11-132013-01-30ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method and semiconductor device
KR101534678B1 (en)2009-02-122015-07-08삼성전자주식회사 A method of manufacturing a semiconductor device in which a tungsten contact plug is subjected to an RTA treatment in an oxygen atmosphere and a tungsten plug subjected to an RTO treatment is reduced in a hydrogen atmosphere
US8435830B2 (en)2009-03-182013-05-07Samsung Electronics Co., Ltd.Methods of fabricating semiconductor devices
US8575753B2 (en)2009-05-272013-11-05Samsung Electronics Co., Ltd.Semiconductor device having a conductive structure including oxide and non oxide portions
JP2011060803A (en)2009-09-072011-03-24Toshiba CorpSemiconductor device
US8531033B2 (en)2009-09-072013-09-10Advanced Interconnect Materials, LlcContact plug structure, semiconductor device, and method for forming contact plug
US8274065B2 (en)2009-10-192012-09-25Macronix International Co., Ltd.Memory and method of fabricating the same
US8778749B2 (en)2011-01-122014-07-15Sandisk Technologies Inc.Air isolation in high density non-volatile memory
US8900988B2 (en)2011-04-152014-12-02International Business Machines CorporationMethod for forming self-aligned airgap interconnect structures
JP2011233922A (en)2011-07-202011-11-17Ihi CorpMethod of forming inter-device isolating region
US8946082B2 (en)2011-09-162015-02-03GlobalFoundries, Inc.Methods for forming semiconductor devices
KR20130046664A (en)2011-10-282013-05-08삼성전자주식회사Methods of forming a pattern and methods of manufacturing a semiconductor device using the same
TWI602283B (en)2012-03-272017-10-11諾發系統有限公司Tungsten feature fill
US8860001B2 (en)2012-04-092014-10-14Freescale Semiconductor, Inc.ReRAM device structure
US20140029181A1 (en)2012-07-272014-01-30Florian GstreinInterlayer interconnects and associated techniques and configurations
US9245987B2 (en)2012-11-292016-01-26Micron Technology, Inc.Semiconductor devices and fabrication methods
US8901607B2 (en)2013-01-142014-12-02Taiwan Semiconductor Manufacturing Company, Ltd.Semiconductor device and fabricating the same
US9312220B2 (en)2013-03-122016-04-12Taiwan Semiconductor Manufacturing Company, Ltd.Structure and method for a low-K dielectric with pillar-type air-gaps
US9178011B2 (en)2013-03-132015-11-03Intermolecular, Inc.Deposition of anisotropic dielectric layers orientationally matched to the physically separated substrate
US9012322B2 (en)2013-04-052015-04-21Intermolecular, Inc.Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition
US9040421B2 (en)2013-05-032015-05-26GlobalFoundries, Inc.Methods for fabricating integrated circuits with improved contact structures
US9219007B2 (en)2013-06-102015-12-22International Business Machines CorporationDouble self aligned via patterning
CN105518837B (en)2013-09-272019-04-16英特尔公司 Self-aligned via and plug patterning for back-end-of-line (BEOL) interconnects
US9793159B2 (en)2013-09-272017-10-17Intel CorporationPrevious layer self-aligned via and plug patterning for back end of line (BEOL) interconnects
US20150111374A1 (en)2013-10-182015-04-23International Business Machines CorporationSurface treatment in a dep-etch-dep process
US8951429B1 (en)2013-10-292015-02-10Applied Materials, Inc.Tungsten oxide processing
US9362413B2 (en)2013-11-152016-06-07Cbrite Inc.MOTFT with un-patterned etch-stop
US9312168B2 (en)2013-12-162016-04-12Applied Materials, Inc.Air gap structure integration using a processing system
US9236292B2 (en)2013-12-182016-01-12Intel CorporationSelective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6297884B2 (en)2014-03-282018-03-20東京エレクトロン株式会社 Method for forming tungsten film
KR102377372B1 (en)2014-04-022022-03-21어플라이드 머티어리얼스, 인코포레이티드Method for forming interconnects
US9368395B1 (en)2014-05-062016-06-14Globalfoundries Inc.Self-aligned via and air gap
US9299745B2 (en)2014-05-082016-03-29GlobalFoundries, Inc.Integrated circuits having magnetic tunnel junctions (MTJ) and methods for fabricating the same
US9281382B2 (en)2014-06-042016-03-08Stmicroelectronics, Inc.Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
US9627318B2 (en)2014-06-162017-04-18Taiwan Semiconductor Manufacturing Company Ltd.Interconnect structure with footing region
US9679852B2 (en)2014-07-012017-06-13Micron Technology, Inc.Semiconductor constructions
US9324650B2 (en)2014-08-152016-04-26International Business Machines CorporationInterconnect structures with fully aligned vias
US9356047B2 (en)2014-08-182016-05-31Globalfoundries Inc.Integrated circuits with self aligned contact structures for improved windows and fabrication methods
US9508642B2 (en)2014-08-202016-11-29Globalfoundries Inc.Self-aligned back end of line cut
US9349637B2 (en)2014-08-212016-05-24Lam Research CorporationMethod for void-free cobalt gap fill
US9735030B2 (en)2014-09-052017-08-15Fujifilm Planar Solutions, LLCPolishing compositions and methods for polishing cobalt films
US9515085B2 (en)2014-09-262016-12-06Sandisk Technologies LlcVertical memory device with bit line air gap
US20160111342A1 (en)2014-10-172016-04-21Lam Research CorporationMethod and apparatus for characterizing metal oxide reduction
US10727122B2 (en)2014-12-082020-07-28International Business Machines CorporationSelf-aligned via interconnect structures
KR102310834B1 (en)2014-12-222021-10-07도쿄엘렉트론가부시키가이샤 Patterning of Substrates with the Use of Grafting Polymer Materials
US20160260779A1 (en)2015-03-062016-09-08Kabushiki Kaisha ToshibaNon-volatile resistive random access memory device
US9362165B1 (en)2015-05-082016-06-07Globalfoundries Inc.2D self-aligned via first process flow
US9543148B1 (en)2015-09-012017-01-10Lam Research CorporationMask shrink layer for high aspect ratio dielectric etch
US9716065B2 (en)2015-09-142017-07-25International Business Machines CorporationVia bottom structure and methods of forming
US9721888B2 (en)2015-12-082017-08-01International Business Machines CorporationTrench silicide with self-aligned contact vias
US10163704B2 (en)2015-12-292018-12-25Taiwan Semiconductor Manufacturing Co., Ltd.Semiconductor device and a method for fabricating the same
TWI621180B (en)2016-02-022018-04-11東京威力科創股份有限公司Self-alignment of metal and via using selective deposition
US11127629B2 (en)2016-05-172021-09-21Taiwan Semiconductor Manufacturing Company Ltd.Semiconductor device and fabricating method thereof
US10083834B2 (en)*2016-09-302018-09-25Applied Materials, Inc.Methods of forming self-aligned vias
TWI719262B (en)2016-11-032021-02-21美商應用材料股份有限公司Deposition and treatment of films for patterning
TWI687978B (en)*2016-11-082020-03-11美商應用材料股份有限公司Geometric control of bottom-up pillars for patterning applications
TW201833991A (en)2016-11-082018-09-16美商應用材料股份有限公司Methods for self-aligned patterning
US10424507B2 (en)*2017-04-042019-09-24Mirocmaterials LLCFully self-aligned via
WO2018227110A1 (en)*2017-06-102018-12-13Applied Materials, Inc.Methods of forming self-aligned vias and air gaps
TW201906035A (en)2017-06-242019-02-01美商微材料有限責任公司 Method of producing fully self-aligned vias and contacts
WO2019046402A1 (en)*2017-08-312019-03-07Micromaterials LlcMethods of producing self-aligned grown via
US10600688B2 (en)*2017-09-062020-03-24Micromaterials LlcMethods of producing self-aligned vias
KR20190104902A (en)*2018-03-022019-09-11마이크로머티어리얼즈 엘엘씨Methods for removing metal oxides
TWI751406B (en)*2018-03-062022-01-01美商應用材料股份有限公司Methods of forming metal chalcogenide pillars
TW202002219A (en)*2018-05-082020-01-01美商微材料有限責任公司Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (en)*2018-05-162020-03-16美商微材料有限責任公司A method for creating a fully self-aligned via
TW202011523A (en)*2018-05-162020-03-16美商微材料有限責任公司Method for increasing the verticality of pillars
WO2019236350A1 (en)*2018-06-082019-12-12Micromaterials LlcA method for creating a fully self-aligned via
TWI757659B (en)*2018-11-232022-03-11美商應用材料股份有限公司Selective deposition of carbon films and uses thereof

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US20020005542A1 (en)*2000-07-142002-01-17Katsuya HayanoManufacturing method of semiconductor integrated circuit device, and semiconductor integrated circuit device
US20040043332A1 (en)*2002-08-282004-03-04Fujitsu LimitedMethod of manufacturing semiconductor device, and method of forming resist pattern
US9577030B2 (en)*2011-03-042017-02-21Intel CorporationSemiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
US10593559B2 (en)*2014-01-172020-03-17Nanya Technology CorporationEtching process in capacitor process of DRAM using a liquid etchant composition
US10229866B2 (en)*2015-06-222019-03-12Intel CorporationOn-chip through-body-via capacitors and techniques for forming same
US9997520B2 (en)*2015-07-312018-06-12Taiwan Semiconductor Manufacturing Co., Ltd.Semiconductor device structure with capacitor and method for forming the same
US10355073B2 (en)*2016-07-132019-07-16Samsung Electronics Co., Ltd.Semiconductor device
US10079277B2 (en)*2016-11-282018-09-18United Microelectronics Corp.Method of fabricating metal-insulator-metal capacitor
US10283509B2 (en)*2016-12-092019-05-07Samsung Electronics Co., Ltd.Semiconductor device and method for fabricating the same
US10032778B2 (en)*2016-12-192018-07-24Samsung Electronics Co., Ltd.Semiconductor device
US10020360B1 (en)*2017-01-062018-07-10Micron Technology, Inc.Integrated memory
US10304838B2 (en)*2017-01-242019-05-28Samsung Electronics Co., Ltd.Semiconductor device
US11380688B2 (en)*2017-01-272022-07-05Semiconductor Energy Laboratory Co., Ltd.Capacitor, semiconductor device, and manufacturing method of semiconductor device
US10607855B2 (en)*2017-07-192020-03-31Samsung Electronics Co., Ltd.Method for fabricating semiconductor device using a hybrid mask pattern
US10177152B1 (en)*2017-07-212019-01-08Micron Technology, Inc.Integrated assemblies comprising stud-type capacitors
US10020311B1 (en)*2017-08-022018-07-10Ap Memory Technology CorporationSemiconductor memory device provided with DRAM cell including two transistors and common capacitor
US10312241B1 (en)*2018-04-272019-06-04Micron Technology, Inc.Integrated memory and integrated assemblies
US11322504B2 (en)*2018-06-282022-05-03Intel CorporationFerroelectric-capacitor integration using novel multi-metal-level interconnect with replaced dielectric for ultra-dense embedded SRAM in state-of-the-art CMOS technology
US10818670B2 (en)*2018-08-162020-10-27Winbond Electronics Corp.Memory device and method for manufacturing the same
US11233056B2 (en)*2018-12-132022-01-25Xia Tai Xin Semiconductor (Qing Dao) Ltd.Semiconductor device and method fabricating the same
US11217587B2 (en)*2019-06-052022-01-04Winbond Electronics Corp.Semiconductor device with capacitor contact surrounded by conductive ring and manufacturing method of the semiconductor device
US11211385B2 (en)*2020-02-252021-12-28Nanya Technology CorporationSemiconductor device and manufacturing method thereof
US11264389B2 (en)*2020-06-032022-03-01Nanya Technology CorporationStack capacitor structure and method for forming the same
US11488957B1 (en)*2021-04-272022-11-01Nanya Technology CorporationSemiconductor structure and method for manufacturing the same

Also Published As

Publication numberPublication date
US11164938B2 (en)2021-11-02
US20200312953A1 (en)2020-10-01

Similar Documents

PublicationPublication DateTitle
US11763856B2 (en)3-D DRAM structure with vertical bit-line
US11587930B2 (en)3-D DRAM structures and methods of manufacture
US11749315B2 (en)3D DRAM structure with high mobility channel
US10325926B2 (en)Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
WO2020231482A1 (en)Memory device using a multilayer ferroelectric stack and method of forming the same
US20060267065A1 (en)Semiconductor device using a conductive film and method of manufacturing the same
US10096654B2 (en)Three-dimensional resistive random access memory containing self-aligned memory elements
US11289511B2 (en)Ferroelectric memory devices with reduced edge leakage and methods for forming the same
US11594537B2 (en)3-d dram cell with mechanical stability
JP2008071825A (en) Semiconductor device and manufacturing method thereof
US11728395B2 (en)Integrated assemblies and methods of forming integrated assemblies
KR20210157350A (en)Confined charge trap layer
US7465617B2 (en)Method of fabricating a semiconductor device having a silicon oxide layer, a method of fabricating a semiconductor device having dual spacers, a method of forming a silicon oxide layer on a substrate, and a method of forming dual spacers on a conductive material layer
US20230044391A1 (en)Selective silicide deposition for 3-d dram
US20220013624A1 (en)DRAM Capacitor Module
US6423593B1 (en)Semiconductor integrated circuit device and process for manufacturing the same
US20210320106A1 (en)Dram capacitor to storage node's landing pad and bit line airgap
US20230371246A1 (en)Direct word line contact and methods of manufacture for 3d memory
KR20030025672A (en)Method for fabricating capacitor in semiconductor device
US11569353B2 (en)Apparatuses including passing word lines comprising a band offset material, and related methods and systems
US20240357793A1 (en)Microelectronic devices and memory devices including vertically spaced transistors and storage devices, and related electronic systems
KR100520447B1 (en)A method for forming capacitor in semiconductor device
TW202434044A (en)Dram cells and manufacturing methods thereof
KR100406547B1 (en)Method for fabricating capacitor in semiconductor memory device
KR20020052846A (en)Method for fabricating semiconductor device

Legal Events

DateCodeTitleDescription
ASAssignment

Owner name:MICROMATERIALS LLC, DELAWARE

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MITRA, UDAY;FREED, REGINA;HWANG, HO-YUNG DAVID;AND OTHERS;SIGNING DATES FROM 20200416 TO 20200429;REEL/FRAME:057654/0128

STPPInformation on status: patent application and granting procedure in general

Free format text:DOCKETED NEW CASE - READY FOR EXAMINATION

STPPInformation on status: patent application and granting procedure in general

Free format text:FINAL REJECTION MAILED

STCBInformation on status: application discontinuation

Free format text:ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION


[8]ページ先頭

©2009-2025 Movatter.jp