Movatterモバイル変換


[0]ホーム

URL:


US20200357687A1 - Conformal low temperature hermetic dielectric diffusion barriers - Google Patents

Conformal low temperature hermetic dielectric diffusion barriers
Download PDF

Info

Publication number
US20200357687A1
US20200357687A1US16/940,004US202016940004AUS2020357687A1US 20200357687 A1US20200357687 A1US 20200357687A1US 202016940004 AUS202016940004 AUS 202016940004AUS 2020357687 A1US2020357687 A1US 2020357687A1
Authority
US
United States
Prior art keywords
layer
dielectric
low
ild
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/940,004
Other versions
US11251076B2 (en
Inventor
Sean King
Hui Jae Yoo
Sreenivas Kosaraju
Timothy Glassman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US16/940,004priorityCriticalpatent/US11251076B2/en
Application filed by Intel CorpfiledCriticalIntel Corp
Publication of US20200357687A1publicationCriticalpatent/US20200357687A1/en
Assigned to INTEL CORPORATIONreassignmentINTEL CORPORATIONASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: GLASSMAN, TIMOTHY, KING, SEAN, KOSARAJU, Sreenivas, YOO, HUI JAE
Priority to US17/567,762prioritypatent/US11587827B2/en
Publication of US11251076B2publicationCriticalpatent/US11251076B2/en
Application grantedgrantedCritical
Priority to US17/855,656prioritypatent/US11670545B2/en
Priority to US18/137,334prioritypatent/US12040226B2/en
Priority to US18/649,389prioritypatent/US12300537B2/en
Priority to US19/175,223prioritypatent/US20250285917A1/en
Activelegal-statusCriticalCurrent
Adjusted expirationlegal-statusCritical

Links

Images

Classifications

Definitions

Landscapes

Abstract

Conformal hermetic dielectric films suitable as dielectric diffusion barriers over 3D topography. In embodiments, the dielectric diffusion barrier includes a dielectric layer, such as a metal oxide, which can be deposited by atomic layer deposition (ALD) techniques with a conformality and density greater than can be achieved in a conventional silicon dioxide-based film deposited by a PECVD process for a thinner contiguous hermetic diffusion barrier. In further embodiments, the diffusion barrier is a multi-layered film including a high-k dielectric layer and a low-k or intermediate-k dielectric layer (e.g., a bi-layer) to reduce the dielectric constant of the diffusion barrier. In other embodiments a silicate of a high-k dielectric layer (e.g., a metal silicate) is formed to lower the k-value of the diffusion barrier by adjusting the silicon content of the silicate while maintaining high film conformality and density.

Description

Claims (21)

2. An integrated circuit structure, comprising:
a first metal interconnect structure in a first low-k inter-layer dielectric (ILD) layer above a substrate;
a second metal interconnect structure in the first low-k inter-layer dielectric (ILD) layer;
a trench in the first low-k ILD layer, the trench between the first metal interconnect structure and the second metal interconnect structure;
an air gap in the trench;
a hardmask layer directly on the first metal interconnect structure;
an moderate-k dielectric layer directly on the hardmask layer, the moderate-k dielectric layer having a dielectric constant greater than a dielectric constant of the hardmask layer;
a metal oxide layer directly on the moderate-k dielectric layer, the metal oxide layer having a dielectric constant greater than the dielectric constant of the moderate-k dielectric layer; and
a second low-k ILD layer directly on the metal oxide layer.
14. A computing device, comprising:
a board; and
a component coupled to the board, the component including an integrated circuit structure, comprising:
a metal interconnect structure in a first low-k inter-layer dielectric (ILD) layer above a first metal interconnect structure in a first low-k inter-layer dielectric (ILD) layer above a substrate;
a second metal interconnect structure in the first low-k inter-layer dielectric (ILD) layer;
a trench in the first low-k ILD layer, the trench between the first metal interconnect structure and the second metal interconnect structure;
an air gap in the trench;
a hardmask layer directly on the first metal interconnect structure;
a moderate-k dielectric layer directly on the hardmask layer, the moderate-k dielectric layer having a dielectric constant greater than a dielectric constant of the hardmask layer;
a metal oxide layer directly on the moderate-k dielectric layer, the metal oxide layer having a dielectric constant greater than the dielectric constant of the moderate-k dielectric layer; and
a second low-k ILD layer directly on the metal oxide layer.
US16/940,0042011-12-202020-07-27Conformal low temperature hermetic dielectric diffusion barriersActive2031-12-23US11251076B2 (en)

Priority Applications (6)

Application NumberPriority DateFiling DateTitle
US16/940,004US11251076B2 (en)2011-12-202020-07-27Conformal low temperature hermetic dielectric diffusion barriers
US17/567,762US11587827B2 (en)2011-12-202022-01-03Conformal low temperature hermetic dielectric diffusion barriers
US17/855,656US11670545B2 (en)2011-12-202022-06-30Conformal low temperature hermetic dielectric diffusion barriers
US18/137,334US12040226B2 (en)2011-12-202023-04-20Conformal low temperature hermetic dielectric diffusion barriers
US18/649,389US12300537B2 (en)2011-12-202024-04-29Conformal low temperature hermetic dielectric diffusion barriers
US19/175,223US20250285917A1 (en)2011-12-202025-04-10Conformal low temperature hermetic dielectric diffusion barriers

Applications Claiming Priority (7)

Application NumberPriority DateFiling DateTitle
PCT/US2011/066252WO2013095396A1 (en)2011-12-202011-12-20Conformal low temperature hermetic dielectric diffusion barriers
US15/141,522US9754821B2 (en)2011-12-202016-04-28Conformal low temperature hermetic dielectric diffusion barriers
US15/686,047US9935002B2 (en)2011-12-202017-08-24Conformal low temperature hermetic dielectric diffusion barriers
US15/926,870US10438844B2 (en)2011-12-202018-03-20Conformal low temperature hermetic dielectric diffusion barriers
US16/538,666US10529619B2 (en)2011-12-202019-08-12Conformal low temperature hermetic dielectric diffusion barriers
US16/702,233US10763161B2 (en)2011-12-202019-12-03Conformal low temperature hermetic dielectric diffusion barriers
US16/940,004US11251076B2 (en)2011-12-202020-07-27Conformal low temperature hermetic dielectric diffusion barriers

Related Parent Applications (1)

Application NumberTitlePriority DateFiling Date
US16/702,233ContinuationUS10763161B2 (en)2011-12-202019-12-03Conformal low temperature hermetic dielectric diffusion barriers

Related Child Applications (1)

Application NumberTitlePriority DateFiling Date
US17/567,762ContinuationUS11587827B2 (en)2011-12-202022-01-03Conformal low temperature hermetic dielectric diffusion barriers

Publications (2)

Publication NumberPublication Date
US20200357687A1true US20200357687A1 (en)2020-11-12
US11251076B2 US11251076B2 (en)2022-02-15

Family

ID=48669055

Family Applications (12)

Application NumberTitlePriority DateFiling Date
US13/976,835ActiveUS9330963B2 (en)2011-12-202011-12-20Conformal low temperature hermetic dielectric diffusion barriers
US15/141,522Expired - Fee RelatedUS9754821B2 (en)2011-12-202016-04-28Conformal low temperature hermetic dielectric diffusion barriers
US15/686,047ActiveUS9935002B2 (en)2011-12-202017-08-24Conformal low temperature hermetic dielectric diffusion barriers
US15/926,870ActiveUS10438844B2 (en)2011-12-202018-03-20Conformal low temperature hermetic dielectric diffusion barriers
US16/538,666ActiveUS10529619B2 (en)2011-12-202019-08-12Conformal low temperature hermetic dielectric diffusion barriers
US16/702,233ActiveUS10763161B2 (en)2011-12-202019-12-03Conformal low temperature hermetic dielectric diffusion barriers
US16/940,004Active2031-12-23US11251076B2 (en)2011-12-202020-07-27Conformal low temperature hermetic dielectric diffusion barriers
US17/567,762ActiveUS11587827B2 (en)2011-12-202022-01-03Conformal low temperature hermetic dielectric diffusion barriers
US17/855,656ActiveUS11670545B2 (en)2011-12-202022-06-30Conformal low temperature hermetic dielectric diffusion barriers
US18/137,334ActiveUS12040226B2 (en)2011-12-202023-04-20Conformal low temperature hermetic dielectric diffusion barriers
US18/649,389ActiveUS12300537B2 (en)2011-12-202024-04-29Conformal low temperature hermetic dielectric diffusion barriers
US19/175,223PendingUS20250285917A1 (en)2011-12-202025-04-10Conformal low temperature hermetic dielectric diffusion barriers

Family Applications Before (6)

Application NumberTitlePriority DateFiling Date
US13/976,835ActiveUS9330963B2 (en)2011-12-202011-12-20Conformal low temperature hermetic dielectric diffusion barriers
US15/141,522Expired - Fee RelatedUS9754821B2 (en)2011-12-202016-04-28Conformal low temperature hermetic dielectric diffusion barriers
US15/686,047ActiveUS9935002B2 (en)2011-12-202017-08-24Conformal low temperature hermetic dielectric diffusion barriers
US15/926,870ActiveUS10438844B2 (en)2011-12-202018-03-20Conformal low temperature hermetic dielectric diffusion barriers
US16/538,666ActiveUS10529619B2 (en)2011-12-202019-08-12Conformal low temperature hermetic dielectric diffusion barriers
US16/702,233ActiveUS10763161B2 (en)2011-12-202019-12-03Conformal low temperature hermetic dielectric diffusion barriers

Family Applications After (5)

Application NumberTitlePriority DateFiling Date
US17/567,762ActiveUS11587827B2 (en)2011-12-202022-01-03Conformal low temperature hermetic dielectric diffusion barriers
US17/855,656ActiveUS11670545B2 (en)2011-12-202022-06-30Conformal low temperature hermetic dielectric diffusion barriers
US18/137,334ActiveUS12040226B2 (en)2011-12-202023-04-20Conformal low temperature hermetic dielectric diffusion barriers
US18/649,389ActiveUS12300537B2 (en)2011-12-202024-04-29Conformal low temperature hermetic dielectric diffusion barriers
US19/175,223PendingUS20250285917A1 (en)2011-12-202025-04-10Conformal low temperature hermetic dielectric diffusion barriers

Country Status (4)

CountryLink
US (12)US9330963B2 (en)
KR (7)KR20200043526A (en)
CN (2)CN107275309B (en)
WO (1)WO2013095396A1 (en)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US8456009B2 (en)*2010-02-182013-06-04Taiwan Semiconductor Manufacturing Company, Ltd.Semiconductor structure having an air-gap region and a method of manufacturing the same
US9257274B2 (en)2010-04-152016-02-09Lam Research CorporationGapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en)2010-04-152018-06-12Lam Research CorporationCapped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9258907B2 (en)*2012-08-092016-02-09Lockheed Martin CorporationConformal 3D non-planar multi-layer circuitry
KR20140032238A (en)*2012-09-062014-03-14삼성전자주식회사Semiconductor device and method for fabricating the same
JP6538300B2 (en)2012-11-082019-07-03ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for depositing a film on a sensitive substrate
US8772745B1 (en)2013-03-142014-07-08Lockheed Martin CorporationX-ray obscuration film and related techniques
US10269634B2 (en)*2013-11-152019-04-23Taiwan Semiconductor Manufacturing Company, Ltd.Semiconductor device having voids and method of forming same
US9659857B2 (en)*2013-12-132017-05-23Taiwan Semiconductor Manufacturing Company, Ltd.Semiconductor structure and method making the same
US20150214331A1 (en)*2014-01-302015-07-30Globalfoundries Inc.Replacement metal gate including dielectric gate material
US9263389B2 (en)2014-05-142016-02-16International Business Machines CorporationEnhancing barrier in air gap technology
US10163792B2 (en)*2014-07-282018-12-25Qualcomm IncorporatedSemiconductor device having an airgap defined at least partially by a protective structure
US10123410B2 (en)2014-10-102018-11-06Lockheed Martin CorporationFine line 3D non-planar conforming circuit
US9305836B1 (en)*2014-11-102016-04-05International Business Machines CorporationAir gap semiconductor structure with selective cap bilayer
CN105655486B (en)*2014-11-182018-03-30中芯国际集成电路制造(上海)有限公司Phase transition storage and forming method thereof
US9564312B2 (en)2014-11-242017-02-07Lam Research CorporationSelective inhibition in atomic layer deposition of silicon-containing films
CN106033741B (en)*2015-03-202020-09-15联华电子股份有限公司Metal internal connection structure and its making method
US10566187B2 (en)2015-03-202020-02-18Lam Research CorporationUltrathin atomic layer deposition film accuracy thickness control
US10008382B2 (en)2015-07-302018-06-26Taiwan Semiconductor Manufacturing Company, Ltd.Semiconductor device having a porous low-k structure
US9530890B1 (en)*2015-11-022016-12-27International Business Machines CorporationParasitic capacitance reduction
US9728447B2 (en)2015-11-162017-08-08Taiwan Semiconductor Manufacturing Company, Ltd.Multi-barrier deposition for air gap formation
US9449871B1 (en)*2015-11-182016-09-20International Business Machines CorporationHybrid airgap structure with oxide liner
US9887128B2 (en)*2015-12-292018-02-06Taiwan Semiconductor Manufacturing Co., Ltd.Method and structure for interconnection
CN112838070B (en)*2016-01-052023-09-26联华电子股份有限公司 Internal wiring structure, internal wiring layout structure and manufacturing method thereof
CN105489486B (en)*2016-01-182018-08-10青岛大学A kind of preparation method based on the high k dielectric layer thin film transistor (TFT) of ultra-thin magnesia
US10014401B2 (en)*2016-01-252018-07-03Electronics And Telecommunications Research InstituteSemiconductor device with passivation layer for control of leakage current
US10224235B2 (en)*2016-02-052019-03-05Lam Research CorporationSystems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10504915B2 (en)*2016-03-032019-12-10Toshiba Memory CorporationIntegrated circuit device having an air gap between interconnects and method for manufacturing the same
US9837355B2 (en)*2016-03-222017-12-05International Business Machines CorporationMethod for maximizing air gap in back end of the line interconnect through via landing modification
WO2017171817A1 (en)*2016-03-312017-10-05Intel CorporationFlowable dielectrics from vapor phase precursors
US20170365504A1 (en)*2016-06-202017-12-21Globalfoundries Inc.Forming air gap
US9773643B1 (en)2016-06-302017-09-26Lam Research CorporationApparatus and method for deposition and etch in gap fill
US10062563B2 (en)2016-07-012018-08-28Lam Research CorporationSelective atomic layer deposition with post-dose treatment
KR102482369B1 (en)2016-07-062022-12-29삼성전자주식회사Semiconductor device and method of manufacturing the same
US9735103B1 (en)*2016-07-202017-08-15International Business Machines CorporationElectrical antifuse having airgap or solid core
US9793207B1 (en)*2016-07-202017-10-17International Business Machines CorporationElectrical antifuse including phase change material
US9892961B1 (en)*2016-08-092018-02-13International Business Machines CorporationAir gap spacer formation for nano-scale semiconductor devices
KR102677788B1 (en)*2016-09-202024-06-25삼성전자주식회사Semiconductor device including an air-gap
CN108122820B (en)*2016-11-292020-06-02中芯国际集成电路制造(上海)有限公司Interconnect structure and method of making the same
US11152254B2 (en)*2016-12-282021-10-19Intel CorporationPitch quartered three-dimensional air gaps
KR102416568B1 (en)2017-08-142022-07-04삼성디스플레이 주식회사Forming method of metal oxide layer and plasma-enhanced chemical vapor deposition device
US10134580B1 (en)2017-08-152018-11-20Globalfoundries Inc.Metallization levels and methods of making thereof
US10290739B2 (en)2017-09-292019-05-14Taiwan Semiconductor Manufacturing Co., Ltd.Device and method of dielectric layer
CN108321118B (en)*2018-04-042023-10-13长鑫存储技术有限公司Method for preparing dielectric cavity between conductive layers and semiconductor device
US11205700B2 (en)*2018-07-162021-12-21Taiwan Semiconductor Manufacturing Co., Ltd.Air gap spacer and related methods
US10937892B2 (en)2018-09-112021-03-02International Business Machines CorporationNano multilayer carbon-rich low-k spacer
CN110957261B (en)*2018-09-262022-11-01长鑫存储技术有限公司Preparation method of semiconductor device interconnection structure barrier layer
US20200203271A1 (en)*2018-12-212020-06-25Xia Tai Xin Semiconductor (Qing Dao) Ltd.Interconnect structure and method for manufacturing the same
WO2020186423A1 (en)*2019-03-182020-09-24Yangtze Memory Technologies Co., Ltd.High-k dielectric layer in three-dimensional memory devices and methods for forming the same
CN109920729B (en)*2019-03-272022-12-02合肥鑫晟光电科技有限公司Preparation method of display substrate and display device
KR102726216B1 (en)2019-05-012024-11-04램 리써치 코포레이션 Modulated atomic layer deposition
JP2022534793A (en)2019-06-072022-08-03ラム リサーチ コーポレーション In situ control of film properties during atomic layer deposition
KR102794839B1 (en)*2019-07-092025-04-14에이에스엠 아이피 홀딩 비.브이.Structure including a photoresist underlayer and method of forming same
US11444243B2 (en)2019-10-282022-09-13Micron Technology, Inc.Electronic devices comprising metal oxide materials and related methods and systems
KR20220113458A (en)*2019-12-092022-08-12엔테그리스, 아이엔씨. Diffusion barriers made of multiple barrier materials, and related articles and methods
CN114981951B (en)*2020-04-282024-07-30华为技术有限公司Integrated circuit, manufacturing method and electronic equipment
CN114203625A (en)*2020-09-022022-03-18长鑫存储技术有限公司Semiconductor device and method for manufacturing the same
US12142562B2 (en)*2021-06-222024-11-12International Business Machines CorporationSubtractive metal etch with improved isolation for BEOL interconnect and cross point
US11545548B1 (en)*2021-06-292023-01-03Globalfoundries U.S. Inc.Gate contacts with airgap isolation
CN115685412A (en)*2021-07-282023-02-03华为技术有限公司 Lenses, lenses, camera modules and electronic equipment
CN113793852A (en)*2021-09-152021-12-14长江存储科技有限责任公司Self-aligned pattern process method and metal interconnection structure
US20230135392A1 (en)*2021-11-042023-05-04Taiwan Semiconductor Manufacturing Co., Ltd.Isolation structures for semiconductor devices
US20230207387A1 (en)*2021-12-282023-06-29International Business Machines CorporationConformal dielectric cap for subtractive vias

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US6576976B2 (en)*1997-01-032003-06-10Integrated Device Technology, Inc.Semiconductor integrated circuit with an insulation structure having reduced permittivity
US6084297A (en)1998-09-032000-07-04Micron Technology, Inc.Cavity ball grid array apparatus
US6159845A (en)*1999-09-112000-12-12United Microelectronics Corp.Method for manufacturing dielectric layer
US6541367B1 (en)*2000-01-182003-04-01Applied Materials, Inc.Very low dielectric constant plasma-enhanced CVD films
US6984591B1 (en)*2000-04-202006-01-10International Business Machines CorporationPrecursor source mixtures
US6509623B2 (en)*2000-06-152003-01-21Newport Fab, LlcMicroelectronic air-gap structures and methods of forming the same
US6664186B1 (en)*2000-09-292003-12-16International Business Machines CorporationMethod of film deposition, and fabrication of structures
US6537923B1 (en)*2000-10-312003-03-25Lsi Logic CorporationProcess for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
WO2002058112A2 (en)*2001-01-222002-07-25N.V.Bekaert S.A.Copper diffusion barriers
US6713846B1 (en)*2001-01-262004-03-30Aviza Technology, Inc.Multilayer high κ dielectric films
KR100805843B1 (en)*2001-12-282008-02-21에이에스엠지니텍코리아 주식회사 Copper wiring forming method, semiconductor device and copper wiring forming system manufactured accordingly
US20030155657A1 (en)*2002-02-142003-08-21Nec Electronics CorporationManufacturing method of semiconductor device
KR100476370B1 (en)*2002-07-192005-03-16주식회사 하이닉스반도체Batch type Atomic Layer Deposition and method for insitu-cleaning in the batch type atomic layer deposition
US6982230B2 (en)*2002-11-082006-01-03International Business Machines CorporationDeposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US7138329B2 (en)*2002-11-152006-11-21United Microelectronics CorporationAir gap for tungsten/aluminum plug applications
US6992344B2 (en)*2002-12-132006-01-31International Business Machines CorporationDamascene integration scheme for developing metal-insulator-metal capacitors
US20040119163A1 (en)*2002-12-232004-06-24Lawrence WongMethod of making semiconductor devices using carbon nitride, a low-dielectric-constant hard mask and/or etch stop
JP4028393B2 (en)*2003-01-092007-12-26株式会社東芝 Semiconductor device and manufacturing method thereof
US7187081B2 (en)*2003-01-292007-03-06International Business Machines CorporationPolycarbosilane buried etch stops in interconnect structures
US7081673B2 (en)*2003-04-172006-07-25International Business Machines CorporationMultilayered cap barrier in microelectronic interconnect structures
US6967405B1 (en)*2003-09-242005-11-22Yongsik YuFilm for copper diffusion barrier
US7803705B2 (en)*2004-01-132010-09-28Tokyo Electron LimitedManufacturing method of semiconductor device and film deposition system
US7169698B2 (en)*2004-01-142007-01-30International Business Machines CorporationSacrificial inorganic polymer intermetal dielectric damascene wire and via liner
US7041571B2 (en)*2004-03-012006-05-09International Business Machines CorporationAir gap interconnect structure and method of manufacture
US7119440B2 (en)*2004-03-302006-10-10Taiwan Semiconductor Manufacturing Co., Ltd.Back end IC wiring with improved electro-migration resistance
US7102232B2 (en)*2004-04-192006-09-05International Business Machines CorporationStructure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
DE102004021261B4 (en)*2004-04-302007-03-22Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a hybrid metallization layer stack for improved mechanical strength during and after insertion into a package
US7271093B2 (en)*2004-05-242007-09-18Asm Japan K.K.Low-carbon-doped silicon oxide film and damascene structure using same
US7422776B2 (en)*2004-08-242008-09-09Applied Materials, Inc.Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4106048B2 (en)2004-10-252008-06-25松下電器産業株式会社 Semiconductor device manufacturing method and semiconductor device
US7704873B1 (en)*2004-11-032010-04-27Novellus Systems, Inc.Protective self-aligned buffer layers for damascene interconnects
US20060199386A1 (en)*2004-12-272006-09-07Jim-Jey HuangSemiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same
US7301236B2 (en)*2005-10-182007-11-27International Business Machines CorporationIncreasing electromigration lifetime and current density in IC using vertically upwardly extending dummy via
US20070096226A1 (en)*2005-10-312007-05-03Chun-Li LiuMOSFET dielectric including a diffusion barrier
US7397106B2 (en)*2005-12-122008-07-08Taiwan Semiconductor Manufacturing Company, Ltd.Laser fuse with efficient heat dissipation
US20070155161A1 (en)*2005-12-302007-07-05Ramachandrarao Vijayakumar SSelective removal of sacrificial light absorbing material over porous dielectric
US7435676B2 (en)*2006-01-102008-10-14International Business Machines CorporationDual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US7994046B2 (en)*2006-01-272011-08-09Taiwan Semiconductor Manufacturing Co., Ltd.Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap
US7816253B2 (en)*2006-03-232010-10-19International Business Machines CorporationSurface treatment of inter-layer dielectric
US7759241B2 (en)*2006-09-152010-07-20Intel CorporationGroup II element alloys for protecting metal interconnects
US7749892B2 (en)*2006-11-292010-07-06International Business Machines CorporationEmbedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en)*2006-12-012008-06-05International Business Machines CorporationSemiconductor structure with liner
JP4451457B2 (en)*2007-02-262010-04-14富士通株式会社 Insulating film material and manufacturing method thereof, multilayer wiring and manufacturing method thereof, and manufacturing method of semiconductor device
JP2009088267A (en)*2007-09-282009-04-23Tokyo Electron Ltd Film forming method, film forming apparatus, storage medium, and semiconductor device
US7879683B2 (en)*2007-10-092011-02-01Applied Materials, Inc.Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US7964442B2 (en)*2007-10-092011-06-21Applied Materials, Inc.Methods to obtain low k dielectric barrier with superior etch resistivity
CN101903990B (en)*2007-12-182013-11-06杨秉春Forming method of embedded interconnection system, double embedded interconnection system and integrated circuit device
US7812424B2 (en)*2007-12-212010-10-12Infineon Technologies AgMoisture barrier capacitors in semiconductor components
US8476758B2 (en)*2008-01-092013-07-02International Business Machines CorporationAirgap-containing interconnect structure with patternable low-k material and method of fabricating
US7943480B2 (en)*2008-02-122011-05-17International Business Machines CorporationSub-lithographic dimensioned air gap formation and related structure
US7737052B2 (en)*2008-03-052010-06-15International Business Machines CorporationAdvanced multilayer dielectric cap with improved mechanical and electrical properties
US8029971B2 (en)*2008-03-132011-10-04International Business Machines CorporationPhotopatternable dielectric materials for BEOL applications and methods for use
JP5042358B2 (en)*2008-04-142012-10-03Ykk株式会社 Metal single face teeth and double swing slide fasteners
US8013446B2 (en)*2008-08-122011-09-06International Business Machines CorporationNitrogen-containing metal cap for interconnect structures
TW201011861A (en)*2008-09-042010-03-16Nanya Technology CorpMethod for fabricating integrated circuit
US7928003B2 (en)*2008-10-102011-04-19Applied Materials, Inc.Air gap interconnects using carbon-based films
JP5396065B2 (en)*2008-10-282014-01-22株式会社日立製作所 Manufacturing method of semiconductor device
US8637396B2 (en)*2008-12-012014-01-28Air Products And Chemicals, Inc.Dielectric barrier deposition using oxygen containing precursor
US8288276B2 (en)*2008-12-302012-10-16International Business Machines CorporationMethod of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
JP5304536B2 (en)*2009-08-242013-10-02ソニー株式会社 Semiconductor device
US8232196B2 (en)*2009-10-292012-07-31International Business Machines CorporationInterconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
US8120179B2 (en)*2009-11-102012-02-21International Business Machines CorporationAir gap interconnect structures and methods for forming the same
GB0919714D0 (en)*2009-11-112009-12-30Gamesman LtdTopper for an entertainment machine
US8274116B2 (en)*2009-11-162012-09-25International Business Machines CorporationControl of threshold voltages in high-k metal gate stack and structures for CMOS devices
US8247332B2 (en)*2009-12-042012-08-21Novellus Systems, Inc.Hardmask materials
CN102110658B (en)*2009-12-292013-07-17中芯国际集成电路制造(上海)有限公司Method for fabricating dibit flash memory
KR20110119399A (en)*2010-04-272011-11-02삼성전자주식회사 Manufacturing apparatus of semiconductor device and manufacturing method of semiconductor device using same
US8373271B2 (en)*2010-05-272013-02-12International Business Machines CorporationInterconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US8575019B2 (en)*2010-09-302013-11-05Institute of Microelectronics, Chinese Academy of SciencesMetal interconnection structure and method for forming metal interlayer via and metal interconnection line
US8629559B2 (en)*2012-02-092014-01-14Taiwan Semiconductor Manufacturing Company, Ltd.Stress reduction apparatus with an inverted cup-shaped layer
US9780008B2 (en)*2012-07-172017-10-03Mitsui Chemicals, Inc.Semiconductor device, method for manufacturing the same, and rinsing liquid
US20140042627A1 (en)*2012-08-092014-02-13International Business Machines CorporationElectronic structure containing a via array as a physical unclonable function
US9059254B2 (en)*2012-09-062015-06-16International Business Machines CorporationOverlay-tolerant via mask and reactive ion etch (RIE) technique
US9082770B2 (en)*2012-10-242015-07-14Taiwan Semiconductor Manufacturing Company LimitedDamascene gap structure
US8772938B2 (en)*2012-12-042014-07-08Intel CorporationSemiconductor interconnect structures
US8847396B2 (en)*2013-01-182014-09-30Taiwan Semiconductor Manufacturing Company, Ltd.Semiconductor integrated circuit and fabricating the same
KR101968351B1 (en)*2013-01-282019-08-13서울대학교산학협력단Semiconductor device and method of fabricating the same
JP5813682B2 (en)*2013-03-082015-11-17株式会社東芝 Semiconductor device and manufacturing method thereof
US9312220B2 (en)*2013-03-122016-04-12Taiwan Semiconductor Manufacturing Company, Ltd.Structure and method for a low-K dielectric with pillar-type air-gaps
US9443796B2 (en)*2013-03-152016-09-13Taiwan Semiconductor Manufacturing Company, Ltd.Air trench in packages incorporating hybrid bonding
KR102059863B1 (en)*2013-08-302019-12-30삼성전자주식회사Semiconductor devices and methods of manufacturing the same
US20150069608A1 (en)*2013-09-112015-03-12International Business Machines CorporationThrough-silicon via structure and method for improving beol dielectric performance
US9165824B2 (en)*2013-09-272015-10-20Intel CorporationInterconnects with fully clad lines
US20150091172A1 (en)*2013-10-012015-04-02Taiwan Semiconductor Manufacturing Co., Ltd.Pore sealing techniques for porous low-k dielectric interconnect
JP6134727B2 (en)*2013-11-082017-05-24ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US9412719B2 (en)*2013-12-192016-08-09Taiwan Semiconductor Manufacturing Company, Ltd.3DIC interconnect apparatus and method
US9299607B2 (en)*2014-02-132016-03-29Taiwan Semiconductor Manufacturing Co., Ltd.Contact critical dimension control
US9385068B2 (en)*2014-03-052016-07-05Northrop Grumman Systems CorporationStacked interconnect structure and method of making the same
US9305837B2 (en)*2014-04-102016-04-05Taiwan Semiconductor Manufacturing Company LimitedSemiconductor arrangement and formation thereof
TWI548030B (en)*2014-04-152016-09-01矽品精密工業股份有限公司Conductive blind-hole structure and manufacturing method thereof
US20160049370A1 (en)*2014-08-122016-02-18Globalfoundries Inc.Methods of forming mis contact structures for semiconductor devices by selective deposition of insulating material and the resulting devices
US9601354B2 (en)*2014-08-272017-03-21Nxp Usa, Inc.Semiconductor manufacturing for forming bond pads and seal rings
US9362239B2 (en)*2014-10-212016-06-07Globalfoundries Inc.Vertical breakdown protection layer
US9418886B1 (en)*2015-07-242016-08-16Taiwan Semiconductor Manufacturing Company, Ltd.Method of forming conductive features
FR3042067A1 (en)*2015-10-012017-04-07Stmicroelectronics Rousset PROTECTION AGAINST PREMATURE CLARIFICATION OF POROUS INTERLAINED DIELECTRICS WITHIN AN INTEGRATED CIRCUIT
US10147682B2 (en)*2015-11-302018-12-04Taiwan Semiconductor Manufacturing Co., Ltd.Structure for stacked logic performance improvement
US9887128B2 (en)*2015-12-292018-02-06Taiwan Semiconductor Manufacturing Co., Ltd.Method and structure for interconnection
US9837355B2 (en)*2016-03-222017-12-05International Business Machines CorporationMethod for maximizing air gap in back end of the line interconnect through via landing modification
US10050139B2 (en)*2016-06-242018-08-14Infineon Technologies AgSemiconductor device including a LDMOS transistor and method
US10242932B2 (en)*2016-06-242019-03-26Infineon Technologies AgLDMOS transistor and method
US10304725B2 (en)*2016-08-262019-05-28Tokyo Electron LimitedManufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
US10020270B2 (en)*2016-09-292018-07-10Infineon Technologies AgSemiconductor device including a LDMOS transistor, monolithic microwave integrated circuit and method
US10304729B2 (en)*2016-11-292019-05-28Taiwan Semiconductor Manufacturing Company, Ltd.Method of forming interconnect structures
US10354955B2 (en)*2017-06-192019-07-16Qualcomm IncorporatedGraphene as interlayer dielectric
US10263064B2 (en)*2017-06-302019-04-16Taiwan Semiconductor Manufacturing Co., Ltd.Semiconductor devices and methods of forming the same
US10157867B1 (en)*2017-08-312018-12-18Taiwan Semiconductor Manufacturing Company, Ltd.Interconnect structure and method
US10529552B2 (en)*2017-11-292020-01-07Taiwan Semiconductor Manufacturing Co., Ltd.Method for manufacturing a semiconductor device and a coating material
US20190363048A1 (en)*2018-05-222019-11-28Lam Research CorporationVia prefill in a fully aligned via
US11705395B2 (en)*2018-06-252023-07-18Intel CorporationCore fill to reduce dishing and metal pillar fill to increase metal density of interconnects

Also Published As

Publication numberPublication date
US10763161B2 (en)2020-09-01
KR20200029638A (en)2020-03-18
US20220122881A1 (en)2022-04-21
US20130292835A1 (en)2013-11-07
KR102090210B1 (en)2020-03-17
US20230260833A1 (en)2023-08-17
US20250285917A1 (en)2025-09-11
US9754821B2 (en)2017-09-05
US10529619B2 (en)2020-01-07
US12300537B2 (en)2025-05-13
US11670545B2 (en)2023-06-06
US10438844B2 (en)2019-10-08
US20220344201A1 (en)2022-10-27
KR20180120812A (en)2018-11-06
KR20140097519A (en)2014-08-06
US20240282624A1 (en)2024-08-22
KR20190115123A (en)2019-10-10
KR20170124621A (en)2017-11-10
WO2013095396A1 (en)2013-06-27
US20200105588A1 (en)2020-04-02
US11251076B2 (en)2022-02-15
KR101690392B1 (en)2016-12-27
KR20170002668A (en)2017-01-06
US20180218940A1 (en)2018-08-02
US9935002B2 (en)2018-04-03
CN107275309B (en)2021-02-09
KR102073176B1 (en)2020-02-05
US11587827B2 (en)2023-02-21
CN104126220A (en)2014-10-29
US20190371658A1 (en)2019-12-05
CN104126220B (en)2017-06-20
US9330963B2 (en)2016-05-03
US12040226B2 (en)2024-07-16
US20160247715A1 (en)2016-08-25
KR20200043526A (en)2020-04-27
US20170372947A1 (en)2017-12-28
CN107275309A (en)2017-10-20

Similar Documents

PublicationPublication DateTitle
US12300537B2 (en)Conformal low temperature hermetic dielectric diffusion barriers
TWI556291B (en)Airgap interconnect with hood layer and method of forming
CN103633127B (en) Semiconductor device and manufacturing method thereof
US10157919B2 (en)Device for a FinFET
CN107919319B (en)Method for manufacturing interconnect structure
KR20230073065A (en)Forming dielectric film with high resistance to tilting

Legal Events

DateCodeTitleDescription
FEPPFee payment procedure

Free format text:ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPPInformation on status: patent application and granting procedure in general

Free format text:DOCKETED NEW CASE - READY FOR EXAMINATION

STPPInformation on status: patent application and granting procedure in general

Free format text:NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

ASAssignment

Owner name:INTEL CORPORATION, CALIFORNIA

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KING, SEAN;YOO, HUI JAE;KOSARAJU, SREENIVAS;AND OTHERS;REEL/FRAME:058597/0600

Effective date:20111220

STPPInformation on status: patent application and granting procedure in general

Free format text:PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCFInformation on status: patent grant

Free format text:PATENTED CASE

MAFPMaintenance fee payment

Free format text:PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment:4


[8]ページ先頭

©2009-2025 Movatter.jp