Movatterモバイル変換


[0]ホーム

URL:


US20180347035A1 - Conformal deposition of silicon carbide films using heterogeneous precursor interaction - Google Patents

Conformal deposition of silicon carbide films using heterogeneous precursor interaction
Download PDF

Info

Publication number
US20180347035A1
US20180347035A1US16/044,357US201816044357AUS2018347035A1US 20180347035 A1US20180347035 A1US 20180347035A1US 201816044357 AUS201816044357 AUS 201816044357AUS 2018347035 A1US2018347035 A1US 2018347035A1
Authority
US
United States
Prior art keywords
silicon
bonds
silicon carbide
precursor
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/044,357
Inventor
Matthew Scott Weimer
Bhadri N. Varadarajan
Bo Gong
Zhe Gui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/494,836external-prioritypatent/US10211310B2/en
Priority claimed from US13/907,699external-prioritypatent/US9234276B2/en
Priority claimed from US14/616,435external-prioritypatent/US10325773B2/en
Priority to US16/044,357priorityCriticalpatent/US20180347035A1/en
Application filed by Lam Research CorpfiledCriticalLam Research Corp
Assigned to LAM RESEARCH CORPORATIONreassignmentLAM RESEARCH CORPORATIONASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: GUI, Zhe, GONG, Bo, VARADARAJAN, BHADRI N., WEIMER, MATTHEW SCOTT
Publication of US20180347035A1publicationCriticalpatent/US20180347035A1/en
Priority to CN202311302407.5Aprioritypatent/CN117660941A/en
Priority to KR1020237019109Aprioritypatent/KR20230088843A/en
Priority to PCT/US2019/042821prioritypatent/WO2020023385A1/en
Priority to KR1020217005460Aprioritypatent/KR102542281B1/en
Priority to CN201980049405.5Aprioritypatent/CN112469846B/en
Priority to TW113116263Aprioritypatent/TW202440986A/en
Priority to TW108125985Aprioritypatent/TWI845526B/en
Priority to US18/175,513prioritypatent/US12359311B2/en
Abandonedlegal-statusCriticalCurrent

Links

Images

Classifications

Definitions

Landscapes

Abstract

A doped or undoped silicon carbide film can be deposited using a remote plasma chemical vapor deposition (CVD) technique. One or more silicon-containing precursors are provided to a reaction chamber. Radical species, such as hydrogen radical species, are provided in a substantially low energy state or ground state and interact with the one or more silicon-containing precursors to deposit the silicon carbide film. A co-reactant may be flowed with the one or more silicon-containing precursors, where the co-reactant can be a depositing additive or a non-depositing additive to increase step coverage of the silicon carbide film.

Description

Claims (20)

What is claimed is:
1. A method of depositing a silicon carbide film on a substrate, the method comprising:
providing a substrate in a reaction chamber;
flowing a silicon-containing precursor into the reaction chamber towards the substrate, wherein the silicon-containing precursor has (i) one or more Si—H bonds and/or Si—Si bonds, (ii) one or more Si—C bonds, Si—N, and/or Si—O bonds, (iii) no C—O bonds, and (iv) no C—N bonds;
flowing a co-reactant into the reaction chamber along with the silicon-containing precursor, wherein the co-reactant is a hydrocarbon molecule;
generating, from a hydrogen source gas, radicals of hydrogen in a remote plasma source that are generated upstream of the silicon-containing precursor and the co-reactant; and
introducing the radicals of hydrogen into the reaction chamber and towards the substrate, wherein the radicals of hydrogen are in a ground state to react with the silicon-containing precursor and the co-reactant to form a doped or undoped silicon carbide film on the substrate, wherein the doped or undoped silicon carbide film has a conformality of at least 90%.
2. The method ofclaim 1, wherein all or substantially all of the radicals of hydrogen in an environment adjacent to the substrate are radicals of hydrogen in the ground state.
3. The method ofclaim 1, wherein the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN).
4. The method ofclaim 1, wherein the hydrocarbon molecule has one or more carbon-to-carbon double bonds or triple bonds.
5. The method ofclaim 4, wherein the hydrocarbon molecule includes propylene, ethylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne.
6. The method ofclaim 1, wherein the co-reactant interacts with the silicon-containing precursor as a non-depositing species in the doped or undoped silicon carbide film.
7. The method ofclaim 1, wherein the silicon-containing precursor and the co-reactant are simultaneously flowed along the same flow path into the reaction chamber.
8. The method ofclaim 1, wherein the doped or undoped silicon carbide film has a conformality of at least 95%.
9. The method ofclaim 1, wherein the silicon-containing precursor includes an alkylcarbosilane, a siloxane, or a silazane.
10. A method of depositing a silicon carbide film on a substrate, the method comprising:
providing a substrate in a reaction chamber;
flowing a first organosilicon precursor into the reaction chamber, wherein the first organosilicon precursor has (i) one or more Si—H bonds and/or Si—Si bonds, and (ii) one or more Si—C bonds, Si—N bonds, and/or Si—O bonds;
flowing a second organo silicon precursor into the reaction chamber, wherein the second organosilicon precursor includes (i) no Si—H bonds and (ii) no Si—Si bonds;
generating, from a hydrogen source gas, radicals of hydrogen in a remote plasma source that are generated upstream of the first organosilicon precursor and the second organosilicon precursor; and
introducing the radicals of hydrogen into the reaction chamber and towards the substrate, wherein the radicals of hydrogen are in a ground state to react with the first organosilicon precursor and the second organosilicon precursor to form a doped or undoped silicon carbide film on the substrate.
11. The method ofclaim 10, wherein all or substantially all of the radicals of hydrogen are radicals of hydrogen in the ground state.
12. The method ofclaim 10, wherein the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN).
13. The method ofclaim 10, wherein a flow rate of the second organosilicon precursor is at least two times greater than a flow rate of the first organosilicon precursor.
14. The method ofclaim 10, wherein a flow rate of the second organosilicon precursor is between about 25 sccm and about 200 sccm.
15. The method ofclaim 10, wherein the doped or undoped silicon carbide film has a conformality of at least 95%.
16. The method ofclaim 10, wherein the second organosilicon precursor includes tetramethylsilane (4MS).
17. The method ofclaim 10, wherein the second organosilicon precursor interacts with the first organosilicon precursor as a depositing species in the doped or undoped silicon carbide film.
18. The method ofclaim 10, wherein the first organosilicon precursor and the second organosilicon precursor are simultaneously flowed along the same flow path into the reaction chamber.
19. The method ofclaim 10, wherein each of the first organosilicon precursor and the second organosilicon precursor is flowed downstream from the remote plasma source.
20. The method ofclaim 10, further comprising:
flowing a co-reactant from the remote plasma source and upstream of the first organosilicon precursor and the second organosilicon precursor to provide radicals and/or ions of the co-reactant, wherein the co-reactant includes carbon dioxide (CO2), carbon monoxide (CO), water (H2O), methanol (CH3OH), oxygen (O2), ozone (O3), nitrogen (N2), nitrous oxide (N2O), ammonia (NH3), or mixtures thereof.
US16/044,3572012-06-122018-07-24Conformal deposition of silicon carbide films using heterogeneous precursor interactionAbandonedUS20180347035A1 (en)

Priority Applications (9)

Application NumberPriority DateFiling DateTitle
US16/044,357US20180347035A1 (en)2012-06-122018-07-24Conformal deposition of silicon carbide films using heterogeneous precursor interaction
CN201980049405.5ACN112469846B (en)2018-07-242019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interactions
KR1020217005460AKR102542281B1 (en)2018-07-242019-07-22 Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions
CN202311302407.5ACN117660941A (en)2018-07-242019-07-22Conformal deposition of silicon carbide films using heterogeneous precursor interactions
PCT/US2019/042821WO2020023385A1 (en)2018-07-242019-07-22Conformal deposition of silicon carbide films using heterogeneous precursor interaction
KR1020237019109AKR20230088843A (en)2018-07-242019-07-22Conformal deposition of silicon carbide films using heterogeneous precursor interaction
TW108125985ATWI845526B (en)2018-07-242019-07-23Method of depositing silicon carbide film on substrate
TW113116263ATW202440986A (en)2018-07-242019-07-23Method of depositing silicon carbide film on substrate
US18/175,513US12359311B2 (en)2012-06-122023-02-27Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Applications Claiming Priority (4)

Application NumberPriority DateFiling DateTitle
US13/494,836US10211310B2 (en)2012-06-122012-06-12Remote plasma based deposition of SiOC class of films
US13/907,699US9234276B2 (en)2013-05-312013-05-31Method to obtain SiC class of films of desired composition and film properties
US14/616,435US10325773B2 (en)2012-06-122015-02-06Conformal deposition of silicon carbide films
US16/044,357US20180347035A1 (en)2012-06-122018-07-24Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Related Parent Applications (1)

Application NumberTitlePriority DateFiling Date
US14/616,435Continuation-In-PartUS10325773B2 (en)2012-06-122015-02-06Conformal deposition of silicon carbide films

Related Child Applications (1)

Application NumberTitlePriority DateFiling Date
US18/175,513ContinuationUS12359311B2 (en)2012-06-122023-02-27Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Publications (1)

Publication NumberPublication Date
US20180347035A1true US20180347035A1 (en)2018-12-06

Family

ID=64458615

Family Applications (2)

Application NumberTitlePriority DateFiling Date
US16/044,357AbandonedUS20180347035A1 (en)2012-06-122018-07-24Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US18/175,513Active2033-05-06US12359311B2 (en)2012-06-122023-02-27Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Family Applications After (1)

Application NumberTitlePriority DateFiling Date
US18/175,513Active2033-05-06US12359311B2 (en)2012-06-122023-02-27Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Country Status (1)

CountryLink
US (2)US20180347035A1 (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US20190296146A1 (en)*2018-03-212019-09-26Kabushiki Kaisha ToshibaSemiconductor device, method for manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator
US10472714B2 (en)2013-05-312019-11-12Novellus Systems, Inc.Method to obtain SiC class of films of desired composition and film properties
WO2020023385A1 (en)*2018-07-242020-01-30Lam Research CorporationConformal deposition of silicon carbide films using heterogeneous precursor interaction
US10580690B2 (en)2016-11-232020-03-03Lam Research CorporationStaircase encapsulation in 3D NAND fabrication
US10832904B2 (en)2012-06-122020-11-10Lam Research CorporationRemote plasma based deposition of oxygen doped silicon carbide films
US10840087B2 (en)2018-07-202020-11-17Lam Research CorporationRemote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2021041369A1 (en)*2019-08-262021-03-04Applied Materials, Inc.Low-k films
US20210082692A1 (en)*2019-09-172021-03-18Asm Ip Holding B.V.Method of forming a carbon-containing layer and structure including the layer
US11011384B2 (en)2017-04-072021-05-18Applied Materials, Inc.Gapfill using reactive anneal
US11049716B2 (en)2015-04-212021-06-29Lam Research CorporationGap fill using carbon-based films
US11063218B2 (en)2019-08-122021-07-13Samsung Electronics Co., Ltd.Method of fabricating semiconductor devices using a two-step gap-fill process
US11264234B2 (en)2012-06-122022-03-01Novellus Systems, Inc.Conformal deposition of silicon carbide films
US20220098728A1 (en)*2020-09-292022-03-31Applied Materials, Inc.Method of in situ ceramic coating deposition
US11447865B2 (en)2020-11-172022-09-20Applied Materials, Inc.Deposition of low-κ films
US20220336212A1 (en)*2021-04-202022-10-20Applied Materials, Inc.Catalytic thermal deposition of carbon-containing materials
WO2023069965A1 (en)*2021-10-212023-04-27Versum Materials Us, LlcSilanols and silanediols
US20230360906A1 (en)*2022-05-052023-11-09Applied Materials, Inc.Silicon-and-carbon-containing materials with low dielectric constants
US11848199B2 (en)2018-10-192023-12-19Lam Research CorporationDoped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11877437B2 (en)2020-08-212024-01-16SK Hynix Inc.Semiconductor device with low-k spacer
US12334332B2 (en)2012-06-122025-06-17Lam Research CorporationRemote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US12359311B2 (en)2012-06-122025-07-15Lam Research CorporationConformal deposition of silicon carbide films using heterogeneous precursor interaction
US12412742B2 (en)2020-07-282025-09-09Lam Research CorporationImpurity reduction in silicon-containing films

Family Cites Families (238)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
JPS59128281A (en)1982-12-291984-07-24信越化学工業株式会社 Method for manufacturing silicon carbide coating
DE3811567A1 (en)1988-04-071989-10-19Wacker Chemie Gmbh METHOD FOR PRODUCING ORGANOPOLYSILANES
US5122431A (en)1988-09-141992-06-16Fujitsu LimitedThin film formation apparatus
JPH05326452A (en)1991-06-101993-12-10Kawasaki Steel CorpEquipment and method for plasma treatment
FR2713666B1 (en)1993-12-151996-01-12Air Liquide Method and device for depositing at low temperature a film containing silicon on a metal substrate.
US5665640A (en)1994-06-031997-09-09Sony CorporationMethod for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6013155A (en)1996-06-282000-01-11Lam Research CorporationGas injection system for plasma processing
JP3164019B2 (en)1997-05-212001-05-08日本電気株式会社 Silicon oxide film, method for forming the same, and film forming apparatus
US6624064B1 (en)1997-10-102003-09-23Applied Materials, Inc.Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7354873B2 (en)1998-02-052008-04-08Asm Japan K.K.Method for forming insulation film
JP4151862B2 (en)1998-02-262008-09-17キヤノンアネルバ株式会社 CVD equipment
US6262445B1 (en)1998-03-302001-07-17Texas Instruments IncorporatedSiC sidewall process
US6395150B1 (en)1998-04-012002-05-28Novellus Systems, Inc.Very high aspect ratio gapfill using HDP
US6846391B1 (en)1998-04-012005-01-25Novellus SystemsProcess for depositing F-doped silica glass in high aspect ratio structures
US20010012667A1 (en)1999-01-152001-08-09Yi MaClustered system and method for formation of integrated circuit devices
US6399177B1 (en)1999-06-032002-06-04The Penn State Research FoundationDeposited thin film void-column network materials
EP1077477B1 (en)1999-08-172008-12-17Applied Materials, Inc.Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
EP1077479A1 (en)1999-08-172001-02-21Applied Materials, Inc.Post-deposition treatment to enchance properties of Si-O-C low K film
CN1158184C (en)1999-09-292004-07-21精工爱普生株式会社 Printer and its control method
US6287643B1 (en)1999-09-302001-09-11Novellus Systems, Inc.Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6399489B1 (en)1999-11-012002-06-04Applied Materials, Inc.Barrier layer deposition using HDP-CVD
US6863019B2 (en)2000-06-132005-03-08Applied Materials, Inc.Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
TW516076B (en)2000-06-132003-01-01Applied Materials IncMethod and apparatus for increasing the utilization efficiency of gases during semiconductor processing
JP4371543B2 (en)2000-06-292009-11-25日本電気株式会社 Remote plasma CVD apparatus and film forming method
US6448186B1 (en)2000-10-062002-09-10Novellus Systems, Inc.Method and apparatus for use of hydrogen and silanes in plasma
US6365527B1 (en)2000-10-062002-04-02United Microelectronics Corp.Method for depositing silicon carbide in semiconductor devices
US6576345B1 (en)2000-11-302003-06-10Novellus Systems IncDielectric films with low dielectric constants
US6949450B2 (en)2000-12-062005-09-27Novellus Systems, Inc.Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en)2000-12-082005-08-30Samsung Electronics, Co., Ltd.Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
KR100705189B1 (en)2000-12-302007-04-06주식회사 하이닉스반도체 Thin Film Formation Method of Semiconductor Device
JP2002289616A (en)2001-03-282002-10-04Mitsubishi Heavy Ind Ltd Film forming method and film forming apparatus
US6846745B1 (en)2001-08-032005-01-25Novellus Systems, Inc.High-density plasma process for filling high aspect ratio structures
US20030064154A1 (en)2001-08-062003-04-03Laxman Ravi K.Low-K dielectric thin films and chemical vapor deposition method of making same
JP3978427B2 (en)2001-08-302007-09-19東京エレクトロン株式会社 Film forming method and film forming apparatus
CA2460892A1 (en)2001-09-182003-03-27Pro-Corp Holdings International LimitedImage recognition inventory management system
US6890850B2 (en)2001-12-142005-05-10Applied Materials, Inc.Method of depositing dielectric materials in damascene applications
US6699784B2 (en)2001-12-142004-03-02Applied Materials Inc.Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6838393B2 (en)2001-12-142005-01-04Applied Materials, Inc.Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6818570B2 (en)2002-03-042004-11-16Asm Japan K.K.Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030194496A1 (en)2002-04-112003-10-16Applied Materials, Inc.Methods for depositing dielectric material
US6935553B2 (en)2002-04-162005-08-30Senju Metal Industry Co., Ltd.Reflow soldering method
JP4683825B2 (en)2002-04-242011-05-18株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
US6936551B2 (en)2002-05-082005-08-30Applied Materials Inc.Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20040086434A1 (en)2002-11-042004-05-06Gadgil Pradad N.Apparatus and method for treating objects with radicals generated from plasma
US20060014384A1 (en)2002-06-052006-01-19Jong-Cheol LeeMethod of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7547635B2 (en)2002-06-142009-06-16Lam Research CorporationProcess for etching dielectric films with improved resist and/or etch profile characteristics
JP3991315B2 (en)2002-09-172007-10-17キヤノンアネルバ株式会社 Thin film forming apparatus and method
US7749563B2 (en)2002-10-072010-07-06Applied Materials, Inc.Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en)2002-10-102006-01-31Asm Japan K.K.Method of manufacturing silicon carbide film
JP4066332B2 (en)2002-10-102008-03-26日本エー・エス・エム株式会社 Method for manufacturing silicon carbide film
JP4109531B2 (en)2002-10-252008-07-02松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US7485570B2 (en)2002-10-302009-02-03Fujitsu LimitedSilicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (en)2002-10-312006-12-07Advanced Micro Devices, Inc., Sunnyvale An improved SiC barrier layer for a low-k dielectric, metallization layer and method of making the same
US20040084774A1 (en)2002-11-022004-05-06Bo LiGas layer formation materials
US20040232552A1 (en)2002-12-092004-11-25Advanced Micro Devices, Inc.Air gap dual damascene process and structure
US6825130B2 (en)2002-12-122004-11-30Asm Japan K.K.CVD of porous dielectric materials
US7172792B2 (en)2002-12-202007-02-06Applied Materials, Inc.Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en)2002-12-202008-04-29Applied Materials, Inc.Method for silicon nitride chemical vapor deposition
US7972663B2 (en)*2002-12-202011-07-05Applied Materials, Inc.Method and apparatus for forming a high quality low temperature silicon nitride layer
US6790788B2 (en)2003-01-132004-09-14Applied Materials Inc.Method of improving stability in low k barrier layers
US7238393B2 (en)2003-02-132007-07-03Asm Japan K.K.Method of forming silicon carbide films
US7084076B2 (en)2003-02-272006-08-01Samsung Electronics, Co., Ltd.Method for forming silicon dioxide film using siloxane
US20040197474A1 (en)2003-04-012004-10-07Vrtis Raymond NicholasMethod for enhancing deposition rate of chemical vapor deposition films
JP2004363241A (en)2003-06-032004-12-24Advanced Lcd Technologies Development Center Co Ltd Method and apparatus for forming crystallized semiconductor layer and method for manufacturing semiconductor device
KR20050002525A (en)2003-06-302005-01-07주식회사 하이닉스반도체The method for manufacturing diffusion protecting layer in semiconductor device
JP3966249B2 (en)2003-07-302007-08-29日産自動車株式会社 Semiconductor device and manufacturing method of semiconductor device
US7018560B2 (en)2003-08-052006-03-28Rohm And Haas Electronic Materials Cmp Holdings, Inc.Composition for polishing semiconductor layers
US6849561B1 (en)2003-08-182005-02-01Asm Japan K.K.Method of forming low-k films
US20050100682A1 (en)2003-11-062005-05-12Tokyo Electron LimitedMethod for depositing materials on a substrate
US7163896B1 (en)2003-12-102007-01-16Novellus Systems, Inc.Biased H2 etch process in deposition-etch-deposition gap fill
US20050230350A1 (en)2004-02-262005-10-20Applied Materials, Inc.In-situ dry clean chamber for front end of line fabrication
US7030041B2 (en)2004-03-152006-04-18Applied Materials Inc.Adhesion improvement for low k dielectrics
US7524735B1 (en)2004-03-252009-04-28Novellus Systems, IncFlowable film dielectric gap fill process
US7582555B1 (en)2005-12-292009-09-01Novellus Systems, Inc.CVD flowable gap fill
US7695590B2 (en)2004-03-262010-04-13Applied Materials, Inc.Chemical vapor deposition plasma reactor having plural ion shower grids
US7102232B2 (en)2004-04-192006-09-05International Business Machines CorporationStructure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7067409B2 (en)2004-05-102006-06-27Taiwan Semiconductor Manufacturing Company, Ltd.Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en)2004-05-182009-11-24Novellus Systems, Inc.Method for improving mechanical properties of low dielectric constant materials
JP2006013190A (en)2004-06-282006-01-12Rohm Co LtdMethod of manufacturing semiconductor device
US7129187B2 (en)2004-07-142006-10-31Tokyo Electron LimitedLow-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
CN100558940C (en)2004-08-182009-11-11陶氏康宁公司 Coated substrates and methods of making the same
US7422776B2 (en)2004-08-242008-09-09Applied Materials, Inc.Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en)2004-09-012007-01-23Applied Materials, Inc.Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
GB0423685D0 (en)2004-10-262004-11-24Dow Corning Ireland LtdImproved method for coating a substrate
US7335980B2 (en)2004-11-042008-02-26International Business Machines CorporationHardmask for reliability of silicon based dielectrics
US7695765B1 (en)2004-11-122010-04-13Novellus Systems, Inc.Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7662355B2 (en)2004-11-292010-02-16National University Corporation Tokyo University Of Agriculture And TechnologySilicon nanosized linear body and a method for producing a silicon nanosized linear body
US7259111B2 (en)2005-01-192007-08-21Applied Materials, Inc.Interface engineering to improve adhesion between low k stacks
US7189658B2 (en)2005-05-042007-03-13Applied Materials, Inc.Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7972910B2 (en)2005-06-032011-07-05Semiconductor Energy Laboratory Co., Ltd.Manufacturing method of integrated circuit device including thin film transistor
JP2006351694A (en)2005-06-142006-12-28Fujitsu Ltd Semiconductor device and manufacturing method thereof
JP2007053133A (en)2005-08-152007-03-01Toshiba Corp Semiconductor device and manufacturing method thereof
US8021992B2 (en)2005-09-012011-09-20Taiwan Semiconductor Manufacturing Co., Ltd.High aspect ratio gap fill application using high density plasma chemical vapor deposition
US7718518B2 (en)2005-12-162010-05-18Asm International N.V.Low temperature doped silicon layer formation
US20070173071A1 (en)2006-01-202007-07-26International Business Machines CorporationSiCOH dielectric
US7695567B2 (en)2006-02-102010-04-13Applied Materials, Inc.Water vapor passivation of a wall facing a plasma
WO2007099428A1 (en)2006-02-282007-09-07Stmicroelectronics (Crolles 2) SasMetal interconnects in a dielectric material
WO2007116492A1 (en)2006-03-312007-10-18Fujitsu Microelectronics LimitedMethod for manufacturing semiconductor device
US7825038B2 (en)2006-05-302010-11-02Applied Materials, Inc.Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
EP2036120A4 (en)2006-05-302012-02-08Applied Materials Inc Novel Deposition Plasmas Cure Process for Improving the Film Quality of Silicon Dioxide
US7514375B1 (en)2006-08-082009-04-07Novellus Systems, Inc.Pulsed bias having high pulse frequency for filling gaps with dielectric material
US20080064173A1 (en)2006-09-082008-03-13United Microelectronics Corp.Semiconductor device, cmos device and fabricating methods of the same
US8053372B1 (en)2006-09-122011-11-08Novellus Systems, Inc.Method of reducing plasma stabilization time in a cyclic deposition process
US20080090022A1 (en)2006-10-122008-04-17Energy Conversion Devices, Inc.High rate, continuous deposition of high quality amorphous, nanocrystalline, microcrystalline or polycrystalline materials
US8465991B2 (en)2006-10-302013-06-18Novellus Systems, Inc.Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en)2009-11-122018-07-31Novellus Systems, Inc.UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20080178805A1 (en)2006-12-052008-07-31Applied Materials, Inc.Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
DE102006058771B4 (en)2006-12-122018-03-01Schott Ag Container with improved emptiness and method for its production
US20080156264A1 (en)2006-12-272008-07-03Novellus Systems, Inc.Plasma Generator Apparatus
US7915166B1 (en)2007-02-222011-03-29Novellus Systems, Inc.Diffusion barrier and etch stop films
CN101017834A (en)2007-03-022007-08-15上海集成电路研发中心有限公司SOI integrated circuit structure and its making method
JP5140290B2 (en)2007-03-022013-02-06富士フイルム株式会社 Insulation film
US7615482B2 (en)2007-03-232009-11-10International Business Machines CorporationStructure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US20090264277A1 (en)2007-04-172009-10-22Dr. Rishi RajPicoscale catalysts for hydrogen catalysis
US7955955B2 (en)2007-05-102011-06-07International Business Machines CorporationUsing crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
JP5022116B2 (en)2007-06-182012-09-12三菱重工業株式会社 Semiconductor device manufacturing method and manufacturing apparatus
US8021514B2 (en)2007-07-112011-09-20Applied Materials, Inc.Remote plasma source for pre-treatment of substrates prior to deposition
WO2009012067A1 (en)2007-07-132009-01-22Applied Materials, Inc.Boron derived materials deposition method
US20090061649A1 (en)2007-08-282009-03-05International Business Machines CorporationLOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (en)2007-09-202009-04-09Fujifilm Corp Semiconductor device stripping liquid and stripping method
US7964442B2 (en)2007-10-092011-06-21Applied Materials, Inc.Methods to obtain low k dielectric barrier with superior etch resistivity
WO2009057223A1 (en)2007-11-022009-05-07Canon Anelva CorporationSurface treating apparatus and method for substrate treatment
US9217200B2 (en)2007-12-212015-12-22Asm International N.V.Modification of nanoimprint lithography templates by atomic layer deposition
US7648899B1 (en)2008-02-282010-01-19Novellus Systems, Inc.Interfacial layers for electromigration resistance improvement in damascene interconnects
US9591738B2 (en)2008-04-032017-03-07Novellus Systems, Inc.Plasma generator systems and methods of forming plasma
KR20090106112A (en)2008-04-042009-10-08울산대학교 산학협력단 Deposition of Aluminum Nitride Films for Micro or Nanoelectromechanical Systems on Polycrystalline Silicon Carbide Buffer Layers
US20090258487A1 (en)2008-04-142009-10-15Keng-Chu LinMethod for Improving the Reliability of Low-k Dielectric Materials
KR101833658B1 (en)2008-05-072018-02-28더 트러스티즈 오브 프린스턴 유니버시티Hybrid layers for use in coatings on electronic devices or other articles
JP5230274B2 (en)2008-06-022013-07-10株式会社東芝 Nonvolatile semiconductor memory device
KR101629193B1 (en)2008-06-262016-06-10가부시키가이샤 한도오따이 에네루기 켄큐쇼Method for manufacturing soi substrate
US20100025370A1 (en)2008-08-042010-02-04Applied Materials, Inc.Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US8168268B2 (en)2008-12-122012-05-01Ovishinsky Innovation, LLCThin film deposition via a spatially-coordinated and time-synchronized process
US8916022B1 (en)2008-09-122014-12-23Novellus Systems, Inc.Plasma generator systems and methods of forming plasma
US20100081293A1 (en)2008-10-012010-04-01Applied Materials, Inc.Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en)2008-10-162011-03-22Applied Materials, Inc.Gapfill improvement with low etch rate dielectric liners
US8809195B2 (en)2008-10-202014-08-19Asm America, Inc.Etching high-k materials
US8637396B2 (en)2008-12-012014-01-28Air Products And Chemicals, Inc.Dielectric barrier deposition using oxygen containing precursor
US20100224322A1 (en)2009-03-032010-09-09Applied Materials, Inc.Endpoint detection for a reactor chamber using a remote plasma chamber
WO2010132579A2 (en)2009-05-132010-11-18Cv Holdings, LlcVessel processing
US8268722B2 (en)2009-06-032012-09-18Novellus Systems, Inc.Interfacial capping layers for interconnects
US20100317198A1 (en)2009-06-122010-12-16Novellus Systems, Inc.Remote plasma processing of interface surfaces
US8084339B2 (en)2009-06-122011-12-27Novellus Systems, Inc.Remote plasma processing of interface surfaces
US8980382B2 (en)2009-12-022015-03-17Applied Materials, Inc.Oxygen-doping for non-carbon radical-component CVD films
WO2011011532A2 (en)2009-07-222011-01-27Applied Materials, Inc.Hollow cathode showerhead
US8071451B2 (en)2009-07-292011-12-06Axcelis Technologies, Inc.Method of doping semiconductors
US7989365B2 (en)2009-08-182011-08-02Applied Materials, Inc.Remote plasma source seasoning
US8202783B2 (en)2009-09-292012-06-19International Business Machines CorporationPatternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8247332B2 (en)2009-12-042012-08-21Novellus Systems, Inc.Hardmask materials
JP5656010B2 (en)2009-12-042015-01-21ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for forming hard mask film and apparatus for forming hard mask film
WO2011072143A2 (en)2009-12-092011-06-16Novellus Systems, Inc.Novel gap fill integration
JP5394270B2 (en)2010-01-252014-01-22株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8703625B2 (en)2010-02-042014-04-22Air Products And Chemicals, Inc.Methods to prepare silicon-containing films
US8399350B2 (en)2010-02-052013-03-19International Business Machines CorporationFormation of air gap with protection of metal lines
KR101123829B1 (en)2010-02-122012-03-20국제엘렉트릭코리아 주식회사Substrate treating apparatus
US8349746B2 (en)2010-02-232013-01-08Applied Materials, Inc.Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
JP5476161B2 (en)2010-03-022014-04-23ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2013521650A (en)2010-03-052013-06-10アプライド マテリアルズ インコーポレイテッド Conformal layer by radical component CVD
KR20130055582A (en)2010-03-172013-05-28어플라이드 머티어리얼스, 인코포레이티드Method and apparatus for remote plasma source assisted silicon-containing film deposition
US8741394B2 (en)2010-03-252014-06-03Novellus Systems, Inc.In-situ deposition of film stacks
US20120142172A1 (en)2010-03-252012-06-07Keith FoxPecvd deposition of smooth polysilicon films
US8288292B2 (en)2010-03-302012-10-16Novellus Systems, Inc.Depositing conformal boron nitride film by CVD without plasma
US9611544B2 (en)2010-04-152017-04-04Novellus Systems, Inc.Plasma activated conformal dielectric film deposition
US20110256734A1 (en)2010-04-152011-10-20Hausmann Dennis MSilicon nitride films and methods
US8524612B2 (en)2010-09-232013-09-03Novellus Systems, Inc.Plasma-activated deposition of conformal films
TW201216331A (en)2010-10-052012-04-16Applied Materials IncUltra high selectivity doped amorphous carbon strippable hardmask development and integration
US8440571B2 (en)2010-11-032013-05-14Applied Materials, Inc.Methods for deposition of silicon carbide and silicon carbonitride films
CN102468434A (en)2010-11-172012-05-23中芯国际集成电路制造(北京)有限公司Manufacturing method of phase change memory
KR101787041B1 (en)2010-11-172017-10-18삼성전자주식회사Methods for forming semiconductor devices having etch stopping layers, and methods for fabricating semiconductor devices
US20120149213A1 (en)2010-12-092012-06-14Lakshminarayana NittalaBottom up fill in high aspect ratio trenches
US8329599B2 (en)2011-02-182012-12-11Asm Japan K.K.Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
CN102693931A (en)2011-03-232012-09-26中国科学院微电子研究所Film filling method
US8771807B2 (en)2011-05-242014-07-08Air Products And Chemicals, Inc.Organoaminosilane precursors and methods for making and using same
US8637412B2 (en)2011-08-192014-01-28International Business Machines CorporationProcess to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (en)2011-08-222013-11-29서울시립대학교 산학협력단Composition for high strength siliconoxycarbide bonded silicon carbide ceramics and the producing method of the silicon carbide ceramics
JP2013055136A (en)2011-09-012013-03-21Toshiba CorpNonvolatile semiconductor memory device and method of manufacturing the same
US20130217239A1 (en)2011-09-092013-08-22Applied Materials, Inc.Flowable silicon-and-carbon-containing layers for semiconductor processing
JP2013074093A (en)2011-09-282013-04-22Renesas Electronics CorpReflow pretreatment device and reflow pretreatment method
US8551891B2 (en)2011-10-042013-10-08Applied Materials, Inc.Remote plasma burn-in
JPWO2013073216A1 (en)2011-11-142015-04-02住友電気工業株式会社 Silicon carbide substrate, semiconductor device and manufacturing method thereof
JPWO2013103037A1 (en)2012-01-072015-05-11日本電気株式会社 Optical device, optical element, and image display device
US8586487B2 (en)2012-01-182013-11-19Applied Materials, Inc.Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US20130242493A1 (en)2012-03-132013-09-19Qualcomm Mems Technologies, Inc.Low cost interposer fabricated with additive processes
US20130298942A1 (en)2012-05-142013-11-14Applied Materials, Inc.Etch remnant removal
US8828884B2 (en)2012-05-232014-09-09Sandisk Technologies Inc.Multi-level contact to a 3D memory array and method of making
US9978585B2 (en)2012-06-012018-05-22Versum Materials Us, LlcOrganoaminodisilane precursors and methods for depositing films comprising same
US9234276B2 (en)2013-05-312016-01-12Novellus Systems, Inc.Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en)2012-06-122020-11-10Lam Research CorporationRemote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en)2012-06-122019-06-18Novellus Systems, Inc.Conformal deposition of silicon carbide films
US20180347035A1 (en)2012-06-122018-12-06Lam Research CorporationConformal deposition of silicon carbide films using heterogeneous precursor interaction
US12334332B2 (en)2012-06-122025-06-17Lam Research CorporationRemote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10211310B2 (en)2012-06-122019-02-19Novellus Systems, Inc.Remote plasma based deposition of SiOC class of films
JP6172660B2 (en)2012-08-232017-08-02東京エレクトロン株式会社 Film forming apparatus and method for forming low dielectric constant film
US20140120678A1 (en)2012-10-292014-05-01Matheson Tri-GasMethods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
SG2013083241A (en)2012-11-082014-06-27Novellus Systems IncConformal film deposition for gapfill
JP6047795B2 (en)2012-11-122016-12-21日東電工株式会社 Antenna module
US20150329965A1 (en)2012-12-212015-11-19Prasad Narhar GadgilMethods of low temperature deposition of ceramic thin films
US8766404B1 (en)2013-01-102014-07-01Intermolecular, Inc.Device design for partially oriented rutile dielectrics
US8928149B2 (en)2013-03-122015-01-06Macronix International Co., Ltd.Interlayer conductor and method for forming
KR102136769B1 (en)2013-03-142020-07-22어플라이드 머티어리얼스, 인코포레이티드Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US20140302690A1 (en)2013-04-042014-10-09Applied Materials, Inc.Chemical linkers to impart improved mechanical strength to flowable films
US10297442B2 (en)2013-05-312019-05-21Lam Research CorporationRemote plasma based deposition of graded or multi-layered silicon carbide film
US9382268B1 (en)2013-07-192016-07-05American Air Liquide, Inc.Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US8927442B1 (en)2013-07-252015-01-06International Business Machines CorporationSiCOH hardmask with graded transition layers
US9543140B2 (en)2013-10-162017-01-10Asm Ip Holding B.V.Deposition of boron and carbon containing materials
US9145607B2 (en)2013-10-222015-09-29Lam Research CorporationTandem source activation for cyclical deposition of films
US9371579B2 (en)2013-10-242016-06-21Lam Research CorporationGround state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (en)2013-12-192018-01-24東京エレクトロン株式会社 Manufacturing method of semiconductor device
US9362186B2 (en)2014-07-182016-06-07Applied Materials, Inc.Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9412581B2 (en)2014-07-162016-08-09Applied Materials, Inc.Low-K dielectric gapfill by flowable deposition
US20160032452A1 (en)2014-08-042016-02-04Veeco Ald Inc.Atomic Layer Deposition Method Using Source Precursor Transformed by Hydrogen Radical Exposure
KR101980247B1 (en)2014-11-212019-05-21주식회사 원익아이피에스Method of fabricating stack structure having low-k
US9564312B2 (en)2014-11-242017-02-07Lam Research CorporationSelective inhibition in atomic layer deposition of silicon-containing films
US9520295B2 (en)2015-02-032016-12-13Lam Research CorporationMetal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
SG10201600832VA (en)2015-02-062016-09-29Novellus Systems IncConformal deposition of silicon carbide films
US9391086B1 (en)2015-02-232016-07-12Kabushiki Kaisha ToshibaNonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en)2015-03-112016-09-15Kabushiki Kaisha ToshibaMethod of manufacturing semiconductor device and semiconductor device
US9828672B2 (en)2015-03-262017-11-28Lam Research CorporationMinimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9777025B2 (en)2015-03-302017-10-03L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges ClaudeSi-containing film forming precursors and methods of using the same
US20160314964A1 (en)2015-04-212016-10-27Lam Research CorporationGap fill using carbon-based films
KR102576122B1 (en)2015-06-052023-09-06어플라이드 머티어리얼스, 인코포레이티드 Graded in-situ charge trapping layers to enable electrostatic chucking and superior particle performance for boron-doped carbon films
KR102658085B1 (en)2015-07-092024-04-16레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Alkylamino substituted halocarbosilane precursor
US9711360B2 (en)2015-08-272017-07-18Applied Materials, Inc.Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
WO2017049253A1 (en)2015-09-182017-03-23Applied Materials, Inc.Methods for depositing conformal bcn films
US10418243B2 (en)2015-10-092019-09-17Applied Materials, Inc.Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9786491B2 (en)2015-11-122017-10-10Asm Ip Holding B.V.Formation of SiOCN thin films
US9786492B2 (en)2015-11-122017-10-10Asm Ip Holding B.V.Formation of SiOCN thin films
US20170178899A1 (en)2015-12-182017-06-22Lam Research CorporationDirectional deposition on patterned structures
KR20210028742A (en)2015-12-212021-03-12버슘머트리얼즈 유에스, 엘엘씨Compositions and methods using same for deposition of silicon-containing film
US10115601B2 (en)2016-02-032018-10-30Tokyo Electron LimitedSelective film formation for raised and recessed features using deposition and etching processes
US20170372919A1 (en)2016-06-252017-12-28Applied Materials, Inc.Flowable Amorphous Silicon Films For Gapfill Applications
US20180033614A1 (en)2016-07-272018-02-01Versum Materials Us, LlcCompositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en)2016-08-302019-11-05Versum Materials Us, LlcPrecursors and flowable CVD methods for making low-K films to fill surface features
TW201822259A (en)2016-09-092018-06-16美商諾發系統有限公司 Remote plasma-based deposition of oxygen-doped yttrium carbide film
US10002787B2 (en)2016-11-232018-06-19Lam Research CorporationStaircase encapsulation in 3D NAND fabrication
US9837270B1 (en)2016-12-162017-12-05Lam Research CorporationDensification of silicon carbide film using remote plasma treatment
JP6807775B2 (en)2017-02-282021-01-06東京エレクトロン株式会社 Film formation method and plasma processing equipment
US10840087B2 (en)2018-07-202020-11-17Lam Research CorporationRemote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20230085954A (en)2018-10-192023-06-14램 리써치 코포레이션Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Cited By (37)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US12334332B2 (en)2012-06-122025-06-17Lam Research CorporationRemote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US12359311B2 (en)2012-06-122025-07-15Lam Research CorporationConformal deposition of silicon carbide films using heterogeneous precursor interaction
US12272547B2 (en)2012-06-122025-04-08Novellus Systems, Inc.Conformal deposition of silicon carbide films
US11264234B2 (en)2012-06-122022-03-01Novellus Systems, Inc.Conformal deposition of silicon carbide films
US11894227B2 (en)2012-06-122024-02-06Novellus Systems, Inc.Conformal deposition of silicon carbide films
US10832904B2 (en)2012-06-122020-11-10Lam Research CorporationRemote plasma based deposition of oxygen doped silicon carbide films
US11680315B2 (en)2013-05-312023-06-20Novellus Systems, Inc.Films of desired composition and film properties
US11680314B2 (en)2013-05-312023-06-20Novellus Systems, Inc.Films of desired composition and film properties
US11708634B2 (en)2013-05-312023-07-25Novellus Systems, Inc.Films of desired composition and film properties
US11732350B2 (en)2013-05-312023-08-22Novellus Systems, Inc.Films of desired composition and film properties
US10472714B2 (en)2013-05-312019-11-12Novellus Systems, Inc.Method to obtain SiC class of films of desired composition and film properties
US11049716B2 (en)2015-04-212021-06-29Lam Research CorporationGap fill using carbon-based films
US10580690B2 (en)2016-11-232020-03-03Lam Research CorporationStaircase encapsulation in 3D NAND fabrication
US11011384B2 (en)2017-04-072021-05-18Applied Materials, Inc.Gapfill using reactive anneal
US20190296146A1 (en)*2018-03-212019-09-26Kabushiki Kaisha ToshibaSemiconductor device, method for manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator
US10714610B2 (en)*2018-03-212020-07-14Kabushiki Kaisha ToshibaSemiconductor device, method for manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator
US10840087B2 (en)2018-07-202020-11-17Lam Research CorporationRemote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020023385A1 (en)*2018-07-242020-01-30Lam Research CorporationConformal deposition of silicon carbide films using heterogeneous precursor interaction
US12300488B2 (en)2018-10-192025-05-13Lam Research CorporationDoped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11848199B2 (en)2018-10-192023-12-19Lam Research CorporationDoped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11063218B2 (en)2019-08-122021-07-13Samsung Electronics Co., Ltd.Method of fabricating semiconductor devices using a two-step gap-fill process
US11186909B2 (en)2019-08-262021-11-30Applied Materials, Inc.Methods of depositing low-K films
WO2021041369A1 (en)*2019-08-262021-03-04Applied Materials, Inc.Low-k films
US20210082692A1 (en)*2019-09-172021-03-18Asm Ip Holding B.V.Method of forming a carbon-containing layer and structure including the layer
US12412742B2 (en)2020-07-282025-09-09Lam Research CorporationImpurity reduction in silicon-containing films
US11877437B2 (en)2020-08-212024-01-16SK Hynix Inc.Semiconductor device with low-k spacer
US11674222B2 (en)*2020-09-292023-06-13Applied Materials, Inc.Method of in situ ceramic coating deposition
US12234549B2 (en)2020-09-292025-02-25Applied Materials, Inc.Method of in situ ceramic coating deposition
US20220098728A1 (en)*2020-09-292022-03-31Applied Materials, Inc.Method of in situ ceramic coating deposition
US11970777B2 (en)2020-11-172024-04-30Applied Materials, Inc.Deposition of low-k films
US11447865B2 (en)2020-11-172022-09-20Applied Materials, Inc.Deposition of low-κ films
US11682554B2 (en)*2021-04-202023-06-20Applied Materials, Inc.Catalytic thermal deposition of carbon-containing materials
TWI850649B (en)*2021-04-202024-08-01美商應用材料股份有限公司Semiconductor processing method
WO2022225829A1 (en)*2021-04-202022-10-27Applied Materials, Inc.Catalytic thermal deposition of carbon-containing materials
US20220336212A1 (en)*2021-04-202022-10-20Applied Materials, Inc.Catalytic thermal deposition of carbon-containing materials
WO2023069965A1 (en)*2021-10-212023-04-27Versum Materials Us, LlcSilanols and silanediols
US20230360906A1 (en)*2022-05-052023-11-09Applied Materials, Inc.Silicon-and-carbon-containing materials with low dielectric constants

Also Published As

Publication numberPublication date
US12359311B2 (en)2025-07-15
US20230203646A1 (en)2023-06-29

Similar Documents

PublicationPublication DateTitle
US12359311B2 (en)Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US20250149328A1 (en)Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US12272547B2 (en)Conformal deposition of silicon carbide films
KR102515238B1 (en)Conformal deposition of silicon carbide films
US10832904B2 (en)Remote plasma based deposition of oxygen doped silicon carbide films
US9837270B1 (en)Densification of silicon carbide film using remote plasma treatment
US10297442B2 (en)Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102492447B1 (en)Remote plasma based deposition of oxygen doped silicon carbide films
KR102542281B1 (en) Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions
KR102841276B1 (en)Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
TWI898441B (en)Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors

Legal Events

DateCodeTitleDescription
STPPInformation on status: patent application and granting procedure in general

Free format text:DOCKETED NEW CASE - READY FOR EXAMINATION

ASAssignment

Owner name:LAM RESEARCH CORPORATION, CALIFORNIA

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WEIMER, MATTHEW SCOTT;VARADARAJAN, BHADRI N.;GONG, BO;AND OTHERS;SIGNING DATES FROM 20180719 TO 20180720;REEL/FRAME:046914/0391

STPPInformation on status: patent application and granting procedure in general

Free format text:NON FINAL ACTION MAILED

STPPInformation on status: patent application and granting procedure in general

Free format text:RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPPInformation on status: patent application and granting procedure in general

Free format text:RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPPInformation on status: patent application and granting procedure in general

Free format text:FINAL REJECTION MAILED

STPPInformation on status: patent application and granting procedure in general

Free format text:ADVISORY ACTION MAILED

STPPInformation on status: patent application and granting procedure in general

Free format text:DOCKETED NEW CASE - READY FOR EXAMINATION

STPPInformation on status: patent application and granting procedure in general

Free format text:NON FINAL ACTION MAILED

STPPInformation on status: patent application and granting procedure in general

Free format text:RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPPInformation on status: patent application and granting procedure in general

Free format text:FINAL REJECTION MAILED

STCVInformation on status: appeal procedure

Free format text:NOTICE OF APPEAL FILED

STCVInformation on status: appeal procedure

Free format text:APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STCVInformation on status: appeal procedure

Free format text:EXAMINER'S ANSWER TO APPEAL BRIEF MAILED

STCBInformation on status: application discontinuation

Free format text:ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION


[8]ページ先頭

©2009-2025 Movatter.jp