Movatterモバイル変換


[0]ホーム

URL:


US20180240682A1 - Atomic layer etch of tungsten for enhanced tungsten deposition fill - Google Patents

Atomic layer etch of tungsten for enhanced tungsten deposition fill
Download PDF

Info

Publication number
US20180240682A1
US20180240682A1US15/954,509US201815954509AUS2018240682A1US 20180240682 A1US20180240682 A1US 20180240682A1US 201815954509 AUS201815954509 AUS 201815954509AUS 2018240682 A1US2018240682 A1US 2018240682A1
Authority
US
United States
Prior art keywords
feature
tungsten
metal
substrate
amount
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/954,509
Inventor
Chiukin Steven Lai
Keren Jacobs Kanarik
Samantha Tan
Anand Chandrashekar
Teh-Tien Su
Wenbing Yang
Michael Wood
Michal Danek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research CorpfiledCriticalLam Research Corp
Priority to US15/954,509priorityCriticalpatent/US20180240682A1/en
Publication of US20180240682A1publicationCriticalpatent/US20180240682A1/en
Priority to US15/929,854prioritypatent/US11069535B2/en
Priority to US17/304,174prioritypatent/US20210305059A1/en
Priority to US17/645,719prioritypatent/US20220115244A1/en
Abandonedlegal-statusCriticalCurrent

Links

Images

Classifications

Definitions

Landscapes

Abstract

Methods of depositing tungsten into high aspect ratio features using a dep-etch-dep process integrating various deposition techniques with alternating pulses of surface modification and removal during etch are provided herein. Methods involve introducing an activation gas at a chamber pressure and/or applying a bias using a bias power selected to preferentially etch the metal at or near the opening of the feature relative to the interior region of the feature. Apparatuses include integrated hardware for performing deposition of metal and atomic layer etching of metal in the same tool and/or without breaking vacuum.

Description

Claims (21)

US15/954,5092015-08-072018-04-16Atomic layer etch of tungsten for enhanced tungsten deposition fillAbandonedUS20180240682A1 (en)

Priority Applications (4)

Application NumberPriority DateFiling DateTitle
US15/954,509US20180240682A1 (en)2015-08-072018-04-16Atomic layer etch of tungsten for enhanced tungsten deposition fill
US15/929,854US11069535B2 (en)2015-08-072020-05-26Atomic layer etch of tungsten for enhanced tungsten deposition fill
US17/304,174US20210305059A1 (en)2015-08-072021-06-15Atomic layer etching of tungsten for enhanced tungsten deposition fill
US17/645,719US20220115244A1 (en)2015-08-072021-12-22Atomic layer etching of tungsten for enhanced tungsten deposition fill

Applications Claiming Priority (3)

Application NumberPriority DateFiling DateTitle
US201562202670P2015-08-072015-08-07
US14/830,683US9972504B2 (en)2015-08-072015-08-19Atomic layer etching of tungsten for enhanced tungsten deposition fill
US15/954,509US20180240682A1 (en)2015-08-072018-04-16Atomic layer etch of tungsten for enhanced tungsten deposition fill

Related Parent Applications (1)

Application NumberTitlePriority DateFiling Date
US14/830,683ContinuationUS9972504B2 (en)2015-08-072015-08-19Atomic layer etching of tungsten for enhanced tungsten deposition fill

Related Child Applications (1)

Application NumberTitlePriority DateFiling Date
US15/929,854DivisionUS11069535B2 (en)2015-08-072020-05-26Atomic layer etch of tungsten for enhanced tungsten deposition fill

Publications (1)

Publication NumberPublication Date
US20180240682A1true US20180240682A1 (en)2018-08-23

Family

ID=58053530

Family Applications (5)

Application NumberTitlePriority DateFiling Date
US14/830,683ActiveUS9972504B2 (en)2015-08-072015-08-19Atomic layer etching of tungsten for enhanced tungsten deposition fill
US15/954,509AbandonedUS20180240682A1 (en)2015-08-072018-04-16Atomic layer etch of tungsten for enhanced tungsten deposition fill
US15/929,854ActiveUS11069535B2 (en)2015-08-072020-05-26Atomic layer etch of tungsten for enhanced tungsten deposition fill
US17/304,174PendingUS20210305059A1 (en)2015-08-072021-06-15Atomic layer etching of tungsten for enhanced tungsten deposition fill
US17/645,719PendingUS20220115244A1 (en)2015-08-072021-12-22Atomic layer etching of tungsten for enhanced tungsten deposition fill

Family Applications Before (1)

Application NumberTitlePriority DateFiling Date
US14/830,683ActiveUS9972504B2 (en)2015-08-072015-08-19Atomic layer etching of tungsten for enhanced tungsten deposition fill

Family Applications After (3)

Application NumberTitlePriority DateFiling Date
US15/929,854ActiveUS11069535B2 (en)2015-08-072020-05-26Atomic layer etch of tungsten for enhanced tungsten deposition fill
US17/304,174PendingUS20210305059A1 (en)2015-08-072021-06-15Atomic layer etching of tungsten for enhanced tungsten deposition fill
US17/645,719PendingUS20220115244A1 (en)2015-08-072021-12-22Atomic layer etching of tungsten for enhanced tungsten deposition fill

Country Status (6)

CountryLink
US (5)US9972504B2 (en)
JP (1)JP2017053024A (en)
KR (6)KR102312951B1 (en)
CN (2)CN113380695B (en)
SG (1)SG10201606450VA (en)
TW (3)TWI828995B (en)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US10374144B2 (en)2015-04-202019-08-06Lam Research CorporationDry plasma etch method to pattern MRAM stack
US10395944B2 (en)2015-08-212019-08-27Lam Research CorporationPulsing RF power in etch process to enhance tungsten gapfill performance
US10566213B2 (en)2016-12-192020-02-18Lam Research CorporationAtomic layer etching of tantalum
US10566211B2 (en)2016-08-302020-02-18Lam Research CorporationContinuous and pulsed RF plasma for etching metals
WO2020091961A1 (en)*2018-10-292020-05-07Applied Materials, Inc.Linerless continuous amorphous metal films
WO2020150043A1 (en)*2019-01-152020-07-23Lam Research CorporationMetal atomic layer etch and deposition apparatuses and processes with metal-free ligands
US10784086B2 (en)2015-04-242020-09-22Lam Research CorporationCobalt etch back
WO2021030074A1 (en)*2019-08-092021-02-18Applied Materials, Inc.Methods and apparatus for hybrid feature metallization
WO2021076212A1 (en)*2019-10-152021-04-22Applied Materials, Inc.Gap fill deposition process
US11028479B2 (en)*2017-11-282021-06-08Tokyo Electron LimitedMethod of forming film
US11069535B2 (en)2015-08-072021-07-20Lam Research CorporationAtomic layer etch of tungsten for enhanced tungsten deposition fill
US11361978B2 (en)2018-07-252022-06-14Applied Materials, Inc.Gas delivery module
US11450513B2 (en)2018-03-302022-09-20Lam Research CorporationAtomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11462417B2 (en)2017-08-182022-10-04Applied Materials, Inc.High pressure and high temperature anneal chamber
US11527421B2 (en)2017-11-112022-12-13Micromaterials, LLCGas delivery system for high pressure processing chamber
US11581183B2 (en)2018-05-082023-02-14Applied Materials, Inc.Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11610773B2 (en)2017-11-172023-03-21Applied Materials, Inc.Condenser system for high pressure processing system
US11658043B2 (en)2020-09-032023-05-23Applied Materials, Inc.Selective anisotropic metal etch
US11694912B2 (en)2017-08-182023-07-04Applied Materials, Inc.High pressure and high temperature anneal chamber
US11705337B2 (en)2017-05-252023-07-18Applied Materials, Inc.Tungsten defluorination by high pressure treatment
US20230268223A1 (en)*2022-02-242023-08-24Taiwan Semiconductor Manufacturing Co., Ltd.Semiconductor devices and methods of manufacture
US11749555B2 (en)2018-12-072023-09-05Applied Materials, Inc.Semiconductor processing system
US11881411B2 (en)2018-03-092024-01-23Applied Materials, Inc.High pressure annealing process for metal containing materials
US11901222B2 (en)2020-02-172024-02-13Applied Materials, Inc.Multi-step process for flowable gap-fill film
US12000044B2 (en)2018-06-222024-06-04Applied Materials, Inc.Catalyzed deposition of metal films
US12198951B2 (en)2017-03-102025-01-14Applied Materials, Inc.High pressure wafer processing systems and related methods
US12444651B2 (en)2022-06-282025-10-14Novellus Systems, Inc.Tungsten feature fill with nucleation inhibition

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US9257274B2 (en)2010-04-152016-02-09Lam Research CorporationGapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10283321B2 (en)2011-01-182019-05-07Applied Materials, Inc.Semiconductor processing system and methods using capacitively coupled plasma
TWI602283B (en)2012-03-272017-10-11諾發系統有限公司Tungsten feature fill
US9373517B2 (en)2012-08-022016-06-21Applied Materials, Inc.Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en)2012-09-212015-09-15Applied Materials, Inc.Chemical control features in wafer process equipment
US10256079B2 (en)2013-02-082019-04-09Applied Materials, Inc.Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en)2013-03-012016-06-07Applied Materials, Inc.Enhanced etching processes using remote plasma sources
US9309598B2 (en)2014-05-282016-04-12Applied Materials, Inc.Oxide and metal removal
US9966240B2 (en)2014-10-142018-05-08Applied Materials, Inc.Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en)2014-10-142016-05-31Applied Materials, Inc.Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en)2014-11-262023-04-25Applied Materials, Inc.Methods and systems to enhance process uniformity
US10224210B2 (en)2014-12-092019-03-05Applied Materials, Inc.Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en)2014-12-092020-02-25Applied Materials, Inc.Direct outlet toroidal plasma source
US11257693B2 (en)2015-01-092022-02-22Applied Materials, Inc.Methods and systems to improve pedestal temperature control
US9576811B2 (en)2015-01-122017-02-21Lam Research CorporationIntegrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US20160225652A1 (en)2015-02-032016-08-04Applied Materials, Inc.Low temperature chuck for plasma processing systems
US9728437B2 (en)2015-02-032017-08-08Applied Materials, Inc.High temperature chuck for plasma processing systems
US9691645B2 (en)2015-08-062017-06-27Applied Materials, Inc.Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en)2015-08-062017-08-22Applied Materials, Inc.Thermal management systems and methods for wafer processing systems
US9349605B1 (en)2015-08-072016-05-24Applied Materials, Inc.Oxide etch selectivity systems and methods
US10096487B2 (en)2015-08-192018-10-09Lam Research CorporationAtomic layer etching of tungsten and other metals
US10504700B2 (en)2015-08-272019-12-10Applied Materials, Inc.Plasma etching systems and methods with secondary plasma injection
US9984858B2 (en)2015-09-042018-05-29Lam Research CorporationALE smoothness: in and outside semiconductor industry
CN107026113B (en)*2016-02-022020-03-31中芯国际集成电路制造(上海)有限公司Method and system for manufacturing semiconductor device
US10115601B2 (en)*2016-02-032018-10-30Tokyo Electron LimitedSelective film formation for raised and recessed features using deposition and etching processes
US10229837B2 (en)2016-02-042019-03-12Lam Research CorporationControl of directionality in atomic layer etching
US10727073B2 (en)2016-02-042020-07-28Lam Research CorporationAtomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en)2016-02-052018-06-05Lam Research CorporationAtomic layer etching in continuous plasma
US10269566B2 (en)2016-04-292019-04-23Lam Research CorporationEtching substrates using ale and selective deposition
US10504754B2 (en)2016-05-192019-12-10Applied Materials, Inc.Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en)2016-05-192019-12-31Applied Materials, Inc.Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en)2016-06-292018-01-09Applied Materials, Inc.Selective etch using material modification and RF pulsing
US9837312B1 (en)*2016-07-222017-12-05Lam Research CorporationAtomic layer etching for enhanced bottom-up feature fill
US10573522B2 (en)2016-08-162020-02-25Lam Research CorporationMethod for preventing line bending during metal fill process
US10269926B2 (en)*2016-08-242019-04-23Taiwan Semiconductor Manufacturing Company, Ltd.Purging deposition tools to reduce oxygen and moisture in wafers
JP6759004B2 (en)2016-08-292020-09-23東京エレクトロン株式会社 How to process the object to be processed
US10629473B2 (en)2016-09-092020-04-21Applied Materials, Inc.Footing removal for nitride spacer
US10062575B2 (en)2016-09-092018-08-28Applied Materials, Inc.Poly directional etch by oxidation
US10062585B2 (en)2016-10-042018-08-28Applied Materials, Inc.Oxygen compatible plasma source
US9934942B1 (en)2016-10-042018-04-03Applied Materials, Inc.Chamber with flow-through source
US10546729B2 (en)2016-10-042020-01-28Applied Materials, Inc.Dual-channel showerhead with improved profile
US10062579B2 (en)2016-10-072018-08-28Applied Materials, Inc.Selective SiN lateral recess
US9947549B1 (en)2016-10-102018-04-17Applied Materials, Inc.Cobalt-containing material removal
US9768034B1 (en)2016-11-112017-09-19Applied Materials, Inc.Removal methods for high aspect ratio structures
US10163696B2 (en)2016-11-112018-12-25Applied Materials, Inc.Selective cobalt removal for bottom up gapfill
US10242908B2 (en)2016-11-142019-03-26Applied Materials, Inc.Airgap formation with damage-free copper
US10026621B2 (en)2016-11-142018-07-17Applied Materials, Inc.SiN spacer profile patterning
US10566206B2 (en)2016-12-272020-02-18Applied Materials, Inc.Systems and methods for anisotropic material breakthrough
US10431429B2 (en)2017-02-032019-10-01Applied Materials, Inc.Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en)2017-02-032019-09-03Applied Materials, Inc.Shaped etch profile with oxidation
US10043684B1 (en)2017-02-062018-08-07Applied Materials, Inc.Self-limiting atomic thermal etching systems and methods
US10319739B2 (en)2017-02-082019-06-11Applied Materials, Inc.Accommodating imperfectly aligned memory holes
US10943834B2 (en)2017-03-132021-03-09Applied Materials, Inc.Replacement contact process
JP6847202B2 (en)*2017-03-312021-03-24株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
US10319649B2 (en)2017-04-112019-06-11Applied Materials, Inc.Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en)2017-04-192020-02-11Lam Research CorporationSelective deposition with atomic layer etch reset
US9997371B1 (en)2017-04-242018-06-12Lam Research CorporationAtomic layer etch methods and hardware for patterning applications
US10832909B2 (en)2017-04-242020-11-10Lam Research CorporationAtomic layer etch, reactive precursors and energetic sources for patterning applications
US11276559B2 (en)2017-05-172022-03-15Applied Materials, Inc.Semiconductor processing chamber for multiple precursor flow
JP7176860B6 (en)2017-05-172022-12-16アプライド マテリアルズ インコーポレイテッド Semiconductor processing chamber to improve precursor flow
US11276590B2 (en)2017-05-172022-03-15Applied Materials, Inc.Multi-zone semiconductor substrate supports
US10950498B2 (en)2017-05-312021-03-16Applied Materials, Inc.Selective and self-limiting tungsten etch process
US10049891B1 (en)2017-05-312018-08-14Applied Materials, Inc.Selective in situ cobalt residue removal
US10497579B2 (en)2017-05-312019-12-03Applied Materials, Inc.Water-free etching methods
US10354916B2 (en)2017-05-312019-07-16Applied Materials, Inc.Methods for wordline separation in 3D-NAND devices
US10920320B2 (en)2017-06-162021-02-16Applied Materials, Inc.Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en)2017-06-262020-01-21Applied Materials, Inc.3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en)2017-07-072020-07-28Applied Materials, Inc.Tantalum-containing material removal
KR102370620B1 (en)2017-07-102022-03-04삼성전자주식회사Semiconductor memory device and conductive structure
US10541184B2 (en)2017-07-112020-01-21Applied Materials, Inc.Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en)2017-07-172019-07-16Applied Materials, Inc.Non-halogen etching of silicon-containing materials
US10043674B1 (en)2017-08-042018-08-07Applied Materials, Inc.Germanium etching systems and methods
US10170336B1 (en)2017-08-042019-01-01Applied Materials, Inc.Methods for anisotropic control of selective silicon removal
US10297458B2 (en)2017-08-072019-05-21Applied Materials, Inc.Process window widening using coated parts in plasma etch processes
TWI778118B (en)*2017-09-052022-09-21美商應用材料股份有限公司Self-aligned structures from sub-oxides
US10269559B2 (en)*2017-09-132019-04-23Lam Research CorporationDielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10763083B2 (en)2017-10-062020-09-01Lam Research CorporationHigh energy atomic layer etching
US10283324B1 (en)2017-10-242019-05-07Applied Materials, Inc.Oxygen treatment for nitride etching
US10128086B1 (en)2017-10-242018-11-13Applied Materials, Inc.Silicon pretreatment for nitride removal
US10424487B2 (en)2017-10-242019-09-24Applied Materials, Inc.Atomic layer etching processes
US10256112B1 (en)2017-12-082019-04-09Applied Materials, Inc.Selective tungsten removal
US10903054B2 (en)2017-12-192021-01-26Applied Materials, Inc.Multi-zone gas distribution systems and methods
US11328909B2 (en)2017-12-222022-05-10Applied Materials, Inc.Chamber conditioning and removal processes
US10854426B2 (en)2018-01-082020-12-01Applied Materials, Inc.Metal recess for semiconductor structures
TWI799494B (en)2018-01-192023-04-21荷蘭商Asm 智慧財產控股公司Deposition method
US10679870B2 (en)2018-02-152020-06-09Applied Materials, Inc.Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en)2018-02-152021-03-30Applied Materials, Inc.Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en)2018-02-282022-06-01美商應用材料股份有限公司Systems and methods to form airgaps
US10593560B2 (en)2018-03-012020-03-17Applied Materials, Inc.Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en)2018-03-122019-06-11Applied Materials, Inc.Thermal silicon etch
US10497573B2 (en)2018-03-132019-12-03Applied Materials, Inc.Selective atomic layer etching of semiconductor materials
WO2019190453A1 (en)*2018-03-262019-10-03Intel CorporationSelective etching and controlled atomic layer etching of transition metal oxide films for device fabrication
US10573527B2 (en)2018-04-062020-02-25Applied Materials, Inc.Gas-phase selective etching systems and methods
US10572697B2 (en)2018-04-062020-02-25Lam Research CorporationMethod of etch model calibration using optical scatterometry
US10490406B2 (en)2018-04-102019-11-26Appled Materials, Inc.Systems and methods for material breakthrough
KR102708927B1 (en)2018-04-102024-09-23램 리써치 코포레이션 Optical metrology with machine learning to characterize features
US11624981B2 (en)2018-04-102023-04-11Lam Research CorporationResist and etch modeling
US10699879B2 (en)2018-04-172020-06-30Applied Materials, Inc.Two piece electrode assembly with gap for plasma control
US10886137B2 (en)2018-04-302021-01-05Applied Materials, Inc.Selective nitride removal
KR102806630B1 (en)*2018-05-032025-05-12램 리써치 코포레이션 Method for depositing tungsten and other metals on 3D NAND structures
US11171045B2 (en)*2018-05-042021-11-09Applied Materials, Inc.Deposition of metal films with tungsten liner
KR102466724B1 (en)*2018-06-192022-11-15주식회사 원익아이피에스Method of forming thin film
JP7126381B2 (en)*2018-05-212022-08-26東京エレクトロン株式会社 Film forming apparatus and film forming method
TWI740046B (en)2018-05-282021-09-21國立清華大學Atomic layer deposition and cobalt metal film
US10872778B2 (en)2018-07-062020-12-22Applied Materials, Inc.Systems and methods utilizing solid-phase etchants
US10755941B2 (en)2018-07-062020-08-25Applied Materials, Inc.Self-limiting selective etching systems and methods
US10727046B2 (en)*2018-07-062020-07-28Lam Research CorporationSurface modified depth controlled deposition for plasma based deposition
US10672642B2 (en)2018-07-242020-06-02Applied Materials, Inc.Systems and methods for pedestal configuration
JP7542939B2 (en)*2018-08-202024-09-02エーエスエム・アイピー・ホールディング・ベー・フェー Method for filling gap features on substrate surfaces and associated semiconductor device structures - Patents.com
US10535523B1 (en)2018-08-302020-01-14Taiwan Semiconductor Manufacturing Co., Ltd.Formation and in-situ etching processes for metal layers
US11049755B2 (en)2018-09-142021-06-29Applied Materials, Inc.Semiconductor substrate supports with embedded RF shield
US10892198B2 (en)2018-09-142021-01-12Applied Materials, Inc.Systems and methods for improved performance in semiconductor processing
WO2020054299A1 (en)*2018-09-142020-03-19株式会社Kokusai ElectricSemiconductor device manufacturing method, substrate processing device, and recording medium
US11062887B2 (en)2018-09-172021-07-13Applied Materials, Inc.High temperature RF heater pedestals
US11417534B2 (en)2018-09-212022-08-16Applied Materials, Inc.Selective material removal
US11682560B2 (en)2018-10-112023-06-20Applied Materials, Inc.Systems and methods for hafnium-containing film removal
JP7195106B2 (en)*2018-10-122022-12-23東京エレクトロン株式会社 Film forming method and substrate processing system
US11121002B2 (en)2018-10-242021-09-14Applied Materials, Inc.Systems and methods for etching metals and metal derivatives
US12148623B2 (en)2018-11-192024-11-19Lam Research CorporationDeposition of tungsten on molybdenum templates
US11437242B2 (en)2018-11-272022-09-06Applied Materials, Inc.Selective removal of silicon-containing materials
US11972952B2 (en)2018-12-142024-04-30Lam Research CorporationAtomic layer deposition on 3D NAND structures
US11721527B2 (en)2019-01-072023-08-08Applied Materials, Inc.Processing chamber mixing systems
US10920319B2 (en)2019-01-112021-02-16Applied Materials, Inc.Ceramic showerheads with conductive electrodes
CN113366144B (en)2019-01-282023-07-07朗姆研究公司Deposition of metal films
US10977405B2 (en)*2019-01-292021-04-13Lam Research CorporationFill process optimization using feature scale modeling
SG11202109796QA (en)2019-03-112021-10-28Lam Res CorpPrecursors for deposition of molybdenum-containing films
WO2020210260A1 (en)2019-04-112020-10-15Lam Research CorporationHigh step coverage tungsten deposition
KR102801535B1 (en)*2019-04-292025-04-25램 리써치 코포레이션 Atomic layer etching for subtractive metal etching
KR102726216B1 (en)2019-05-012024-11-04램 리써치 코포레이션 Modulated atomic layer deposition
WO2020230522A1 (en)*2019-05-152020-11-19昭和電工株式会社Metal removal method, dry etching method, and production method for semiconductor element
US12237221B2 (en)2019-05-222025-02-25Lam Research CorporationNucleation-free tungsten deposition
JP2022534793A (en)2019-06-072022-08-03ラム リサーチ コーポレーション In situ control of film properties during atomic layer deposition
CN114207858A (en)*2019-07-312022-03-18朗姆研究公司 Chemically Etched Non-Volatile Materials for MRAM Patterning
CN114207184A (en)2019-08-062022-03-18朗姆研究公司 Thermal Atomic Layer Deposition of Silicon-Containing Films
KR20220047333A (en)2019-08-122022-04-15램 리써치 코포레이션 Tungsten Deposition
WO2021046058A1 (en)2019-09-032021-03-11Lam Research CorporationMolybdenum deposition
US12327762B2 (en)2019-10-152025-06-10Lam Research CorporationMolybdenum fill
EP4091192A4 (en)*2020-01-162024-07-03Entegris, Inc. ENGRAVING OR DEPOSITION PROCESS
JP7728778B2 (en)*2020-03-062025-08-25ラム リサーチ コーポレーション Atomic layer etching of molybdenum
US11776980B2 (en)*2020-03-132023-10-03Applied Materials, Inc.Methods for reflector film growth
KR102428642B1 (en)*2020-06-012022-08-02인하대학교 산학협력단Dry-etching method of copper thin film
CN115735261A (en)2020-07-282023-03-03朗姆研究公司 Impurity reduction in silicon-containing films
CN115917709A (en)*2020-07-302023-04-04周星工程股份有限公司Thin film forming method
US11538916B2 (en)*2020-09-012022-12-27Samsung Electronics Co., Ltd.Semiconductor device
US11631769B2 (en)*2020-09-012023-04-18Samsung Electronics Co., Ltd.Semiconductor device
US20230113514A1 (en)*2021-10-082023-04-13Applied Materials, Inc.Methods for seamless gap filling using gradient oxidation
KR102837958B1 (en)*2021-10-272025-07-25도쿄엘렉트론가부시키가이샤Film forming method and film forming system
TWI790028B (en)*2021-12-092023-01-11財團法人工業技術研究院Deposition apparatus and deposition method
US20230187355A1 (en)*2021-12-152023-06-15International Business Machines CorporationMethod to Produce Buried Nb Lines Surrounded by Ti
EP4459662A4 (en)*2021-12-282025-10-15Kokusai Electric Corp Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
JP2023146703A (en)*2022-03-292023-10-12東京エレクトロン株式会社Embedding method and substrate processing system
US20230343643A1 (en)*2022-04-252023-10-26Applied Materials, Inc.Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
US20230420295A1 (en)*2022-06-222023-12-28Applied Materials, Inc.Treatment of tungsten surface for tungsten gap-fill
CN119522482A (en)*2022-06-302025-02-25应用材料公司 Plasma-enhanced tungsten nucleation at low resistivity
US12261054B2 (en)2022-08-112025-03-25Tokyo Electron LimitedSubstrate processing with material modification and removal
KR102792064B1 (en)*2022-10-052025-04-04충남대학교산학협력단Atomic layer etching method using gas pulsing
WO2024112078A1 (en)*2022-11-212024-05-30고려대학교 세종산학협력단Method and device for thin film process including activated proton assist plasma etching
WO2024215581A1 (en)*2023-04-102024-10-17Lam Research CorporationBlended deposition and etch process for improved gapfill
US12374568B2 (en)2023-08-292025-07-29Applied Materials, Inc.One chamber multi-station selective metal removal
KR102688218B1 (en)*2023-10-312024-07-25인하대학교 산학협력단Dry-etching method of cobalt thin films using cyclic etching
CN120164788B (en)*2025-05-202025-08-05研微(江苏)半导体科技有限公司Feature filling method and semiconductor structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US8617411B2 (en)*2011-07-202013-12-31Lam Research CorporationMethods and apparatus for atomic layer etching
US20140170853A1 (en)*2012-12-142014-06-19Lam Research CorporationImage reversal with ahm gap fill for multiple patterning

Family Cites Families (261)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
JPS5416280B2 (en)1971-12-301979-06-21
JPH061769B2 (en)1983-08-101994-01-05株式会社日立製作所 Alumina film patterning method
US4714520A (en)1985-07-251987-12-22Advanced Micro Devices, Inc.Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (en)1986-03-171987-09-22Fujitsu Ltd Selective growth method of tungsten
US4713141A (en)1986-09-221987-12-15Intel CorporationAnisotropic plasma etching of tungsten
US4874723A (en)1987-07-161989-10-17Texas Instruments IncorporatedSelective etching of tungsten by remote and in situ plasma generation
US5147500A (en)1987-07-311992-09-15Hitachi, Ltd.Dry etching method
US4891550A (en)1987-10-151990-01-02Duro-Test CorporationPhosphor blend for broad spectrum fluorescent lamp
US4997520A (en)1988-06-101991-03-05Texas Instruments IncorporatedMethod for etching tungsten
US5037775A (en)1988-11-301991-08-06McncMethod for selectively depositing single elemental semiconductor material on substrates
JPH02187031A (en)1989-01-141990-07-23Sharp CorpSemiconductor device
US4988644A (en)1989-05-231991-01-29Texas Instruments IncorporatedMethod for etching semiconductor materials using a remote plasma generator
JP3019367B2 (en)1990-06-212000-03-13日本電気株式会社 Method for manufacturing semiconductor device
JPH04142061A (en)1990-10-021992-05-15Sony CorpFormation of tungsten plug
US5164330A (en)1991-04-171992-11-17Intel CorporationEtchback process for tungsten utilizing a NF3/AR chemistry
JPH05226280A (en)1992-02-141993-09-03Matsushita Electric Ind Co LtdManufacture of semiconductor device
CA2067565C (en)1992-04-291999-02-16Ismail T. EmeshDeposition of tungsten
JPH06151382A (en)1992-11-111994-05-31Toshiba Corp Dry etching method
DE4241045C1 (en)1992-12-051994-05-26Bosch Gmbh Robert Process for anisotropic etching of silicon
JPH06326060A (en)1993-05-121994-11-25Hitachi LtdWorking method of surface of solid
JP2881371B2 (en)1993-09-201999-04-12東京エレクトロン株式会社 Vacuum processing apparatus and method of cleaning vacuum processing apparatus assembly
US5616208A (en)1993-09-171997-04-01Tokyo Electron LimitedVacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3014019B2 (en)1993-11-262000-02-28日本電気株式会社 Method for manufacturing semiconductor device
US5431774A (en)1993-11-301995-07-11Texas Instruments IncorporatedCopper etching
KR0179677B1 (en)1993-12-281999-04-15사토 후미오Semiconductor device wiring or electrode
JP3291889B2 (en)1994-02-152002-06-17ソニー株式会社 Dry etching method
US6022806A (en)1994-03-152000-02-08Kabushiki Kaisha ToshibaMethod of forming a film in recess by vapor phase growth
JPH0831935A (en)1994-07-131996-02-02Nkk Corp Method for manufacturing semiconductor device
US5489552A (en)1994-12-301996-02-06At&T Corp.Multiple layer tungsten deposition process
JP2737764B2 (en)1995-03-031998-04-08日本電気株式会社 Semiconductor device and manufacturing method thereof
JP3538970B2 (en)1995-05-242004-06-14ヤマハ株式会社 Wiring formation method
JPH0922896A (en)1995-07-071997-01-21Toshiba Corp Selective metal film formation method
US6083413A (en)1995-10-192000-07-04Massachusetts Institute Of TechnologyMetals removal process
US5747379A (en)1996-01-111998-05-05Taiwan Semiconductor Manufacturing Company, Ltd.Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US5833817A (en)1996-04-221998-11-10Taiwan Semiconductor Manufacturing Company, Ltd.Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
JP3511802B2 (en)1996-05-272004-03-29ソニー株式会社 Method of forming metal wiring
JPH09326436A (en)1996-06-061997-12-16Sony CorpFormation of wiring
US5677237A (en)1996-06-211997-10-14Taiwan Semiconductor Manufacturing Company Ltd.Process for removing seams in tungsten plugs
US5893758A (en)1996-06-261999-04-13Micron Technology, Inc.Etching method for reducing cusping at openings
US5963833A (en)1996-07-031999-10-05Micron Technology, Inc.Method for cleaning semiconductor wafers and
KR100214852B1 (en)1996-11-021999-08-02김영환 Metal wiring formation method of semiconductor device
JP3869089B2 (en)1996-11-142007-01-17株式会社日立製作所 Manufacturing method of semiconductor integrated circuit device
JP2891952B2 (en)1996-12-171999-05-17芝浦メカトロニクス株式会社 Method for manufacturing semiconductor device
US6184158B1 (en)1996-12-232001-02-06Lam Research CorporationInductively coupled plasma CVD
KR100239442B1 (en)1996-12-262000-01-15김영환 Method for forming conductive plug in contact hole
EP0856877A1 (en)1997-01-311998-08-05Texas Instruments IncorporatedProcess for forming integrated circuits using multistep plasma etching
JPH10256187A (en)1997-03-141998-09-25Nippon Steel Corp Semiconductor device and manufacturing method thereof
US5866483A (en)1997-04-041999-02-02Applied Materials, Inc.Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5807786A (en)1997-07-301998-09-15Taiwan Semiconductor Manufacturing Company, Ltd.Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
TW359884B (en)1998-01-071999-06-01Nanya Technology Co LtdMulti-level interconnects with I-plug and production process therefor
US6323132B1 (en)1998-01-132001-11-27Applied Materials, Inc.Etching methods for anisotropic platinum profile
EP1048064A1 (en)1998-01-132000-11-02Applied Materials, Inc.Etching methods for anisotropic platinum profile
US6110822A (en)1998-03-252000-08-29Taiwan Semiconductor Manufacturing CompanyMethod for forming a polysilicon-interconnect contact in a TFT-SRAM
US6030881A (en)1998-05-052000-02-29Novellus Systems, Inc.High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en)1998-05-152002-08-13Applied Materials, Inc.Semiconductor fabrication process
WO1999067056A1 (en)1998-06-231999-12-29Arch Specialty Chemicals, Inc.Composition for the chemical mechanical polishing of metal layers
US6140233A (en)1998-06-252000-10-31Samsung Electronics Co., Ltd.Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
TW436366B (en)1998-08-212001-05-28United Microelectronics CorpMethod of fabricating a plug
US6177353B1 (en)1998-09-152001-01-23Infineon Technologies North America Corp.Metallization etching techniques for reducing post-etch corrosion of metal lines
US6245654B1 (en)1999-03-312001-06-12Taiwan Semiconductor Manufacturing Company, LtdMethod for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en)1999-05-242001-09-25Agere Systems Guardian Corp.Method of chemical vapor depositing tungsten films
US6503843B1 (en)1999-09-212003-01-07Applied Materials, Inc.Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US8696875B2 (en)*1999-10-082014-04-15Applied Materials, Inc.Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458694B2 (en)2000-01-242002-10-01Ebara CorporationHigh energy sputtering method for forming interconnects
JP2001274114A (en)2000-03-282001-10-05Toshiba Corp Method for manufacturing semiconductor device
JP3662472B2 (en)2000-05-092005-06-22エム・エフエスアイ株式会社 Substrate surface treatment method
JP2002009017A (en)2000-06-222002-01-11Mitsubishi Electric Corp Method for manufacturing semiconductor device
JP2002016066A (en)2000-06-272002-01-18Mitsubishi Electric Corp Semiconductor device and method of manufacturing the same
US7964505B2 (en)2005-01-192011-06-21Applied Materials, Inc.Atomic layer deposition of tungsten materials
JP2002043201A (en)2000-07-282002-02-08Mitsubishi Electric Corp Semiconductor device manufacturing method and semiconductor device
US6527855B2 (en)2000-10-102003-03-04Rensselaer Polytechnic InstituteAtomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en)2000-11-162002-05-16Ching-Te LinElimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
KR100399417B1 (en)2001-01-082003-09-26삼성전자주식회사A method for preparing of integrated circuit of semiconductor
US6376376B1 (en)2001-01-162002-04-23Chartered Semiconductor Manufacturing Ltd.Method to prevent CU dishing during damascene formation
KR20020072996A (en)2001-03-142002-09-19주성엔지니어링(주)Method for forming a metal plug
US6448192B1 (en)2001-04-162002-09-10Motorola, Inc.Method for forming a high dielectric constant material
CN100355058C (en)2001-05-042007-12-12东京毅力科创株式会社Ionized PVD with sequential deposition and etching
US7005372B2 (en)2003-01-212006-02-28Novellus Systems, Inc.Deposition of tungsten nitride
US7955972B2 (en)2001-05-222011-06-07Novellus Systems, Inc.Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en)2001-05-222003-10-21Novellus Systems, Inc.Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en)2001-05-222006-11-28Novellus Systems, Inc.Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en)2001-05-222009-09-15Novellus Systems, Inc.Methods for growing low-resistivity tungsten film
JP2002353161A (en)2001-05-252002-12-06Mitsubishi Electric Corp Semiconductor device manufacturing method and semiconductor device
JP3822804B2 (en)2001-06-182006-09-20株式会社日立製作所 Manufacturing method of semiconductor device
US6686278B2 (en)2001-06-192004-02-03United Microelectronics Corp.Method for forming a plug metal layer
US9051641B2 (en)*2001-07-252015-06-09Applied Materials, Inc.Cobalt deposition on barrier surfaces
US8110489B2 (en)2001-07-252012-02-07Applied Materials, Inc.Process for forming cobalt-containing materials
US7115516B2 (en)2001-10-092006-10-03Applied Materials, Inc.Method of depositing a material layer
JP2003142484A (en)2001-10-312003-05-16Mitsubishi Electric Corp Method for manufacturing semiconductor device
US6872323B1 (en)2001-11-012005-03-29Novellus Systems, Inc.In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
KR100437455B1 (en)2001-12-102004-06-23삼성전자주식회사Method of forming semiconductor device
KR20030058853A (en)2002-01-022003-07-07주식회사 하이닉스반도체Method for Forming of Semiconductor Device
US6828226B1 (en)2002-01-092004-12-07Taiwan Semiconductor Manufacturing Company, LimitedRemoval of SiON residue after CMP
JP3971192B2 (en)2002-01-112007-09-05株式会社アルバック CVD equipment
US6998014B2 (en)2002-01-262006-02-14Applied Materials, Inc.Apparatus and method for plasma assisted deposition
US6797620B2 (en)2002-04-162004-09-28Applied Materials, Inc.Method and apparatus for improved electroplating fill of an aperture
WO2003098662A2 (en)2002-05-142003-11-27Tokyo Electron LimitedPLASMA ETCHING OF Cu-CONTAINING LAYERS
KR100446300B1 (en)2002-05-302004-08-30삼성전자주식회사Method for forming metal interconnections of semiconductor device
DE10224160A1 (en)*2002-05-312003-12-18Advanced Micro Devices IncSilicon-on-insulator substrate comprises bulk substrate, insulating layer, active semiconductor layer, and diffusion barrier layer having thickness and composition that prevent copper atoms from diffusing through
US20030235995A1 (en)2002-06-212003-12-25Oluseyi Hakeem M.Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US6884730B2 (en)2002-07-022005-04-26Headway Technologies, Inc.Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US7240564B2 (en)2002-07-302007-07-10Alliant Techsystems Inc.Method and apparatus for detecting and determining event characteristics with reduced data collection
US6802944B2 (en)2002-10-232004-10-12Applied Materials, Inc.High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (en)2002-11-112006-01-11삼성전자주식회사 Method and apparatus for generating gas plasma, gas composition for plasma generation and method for manufacturing semiconductor device using same
US6933239B2 (en)2003-01-132005-08-23Applied Materials, Inc.Method for removing conductive residue
KR100528073B1 (en)2003-04-072005-11-15동부아남반도체 주식회사Fabricating method of semiconductor device
US6841484B2 (en)2003-04-172005-01-11Chentsau YingMethod of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (en)2003-05-072004-11-25Renesas Technology CorpMethod for dry-etching multilayered film material
US6844258B1 (en)2003-05-092005-01-18Novellus Systems, Inc.Selective refractory metal and nitride capping
TW200428532A (en)*2003-06-032004-12-16Silicon Integrated Sys CorpMethod of modifying conductive wiring
US7205240B2 (en)2003-06-042007-04-17Applied Materials, Inc.HDP-CVD multistep gapfill process
US20040266174A1 (en)2003-06-272004-12-30Chin-Tien YangMethod and apparatus of preventing tungsten pullout during tungsten chemical mill processing
US7993460B2 (en)2003-06-302011-08-09Lam Research CorporationSubstrate support having dynamic temperature control
KR20050011479A (en)2003-07-232005-01-29주식회사 하이닉스반도체Method for Forming Tungsten Contact Plug of Semiconductor Device
KR100555514B1 (en)2003-08-222006-03-03삼성전자주식회사 Semiconductor memory device having low resistance tungsten wiring and its manufacturing method
US7005387B2 (en)2003-11-082006-02-28Advanced Micro Devices, Inc.Method for preventing an increase in contact hole width during contact formation
US7341946B2 (en)2003-11-102008-03-11Novellus Systems, Inc.Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7223693B2 (en)2003-12-122007-05-29Samsung Electronics Co., Ltd.Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
US20050233555A1 (en)2004-04-192005-10-20Nagarajan RajagopalanAdhesion improvement for low k dielectrics to conductive materials
US7199045B2 (en)2004-05-262007-04-03Taiwan Semiconductor Manufacturing Company, Ltd.Metal-filled openings for submicron devices and methods of manufacture thereof
US7582127B2 (en)2004-06-162009-09-01Cabot Microelectronics CorporationPolishing composition for a tungsten-containing substrate
US7115522B2 (en)2004-07-092006-10-03Kabushiki Kaisha ToshibaMethod for manufacturing semiconductor device
CN100576474C (en)2004-07-202009-12-30应用材料股份有限公司 Atomic layer deposition of tantalum-containing materials using tantalum precursor TAIMATA
KR20050013187A (en)2004-12-282005-02-03삼성전자주식회사Method and apparatus for generating a gas plasma, gas compostion for generating a plasma and method for semiconductor processing using the same
US7196955B2 (en)2005-01-122007-03-27Hewlett-Packard Development Company, L.P.Hardmasks for providing thermally assisted switching of magnetic memory elements
KR100642750B1 (en)2005-01-312006-11-10삼성전자주식회사 Semiconductor device and manufacturing method thereof
US7235492B2 (en)2005-01-312007-06-26Applied Materials, Inc.Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (en)2005-02-142012-01-25東京エレクトロン株式会社 Substrate processing method, electronic device manufacturing method, and program
JP4671729B2 (en)2005-03-282011-04-20富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP4945937B2 (en)2005-07-012012-06-06東京エレクトロン株式会社 Tungsten film forming method, film forming apparatus, and storage medium
US20070006893A1 (en)2005-07-082007-01-11Bing JiFree radical initiator in remote plasma chamber clean
US7214626B2 (en)2005-08-242007-05-08United Microelectronics Corp.Etching process for decreasing mask defect
WO2007023950A1 (en)2005-08-262007-03-01Hitachi, Ltd.Semiconductor device manufacturing method
US8747960B2 (en)2005-08-312014-06-10Lam Research CorporationProcesses and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070087581A1 (en)2005-09-092007-04-19Varian Semiconductor Equipment Associates, Inc.Technique for atomic layer deposition
US20070117396A1 (en)2005-11-222007-05-24Dingjun WuSelective etching of titanium nitride with xenon difluoride
DE102006001253B4 (en)2005-12-302013-02-07Advanced Micro Devices, Inc. A method of forming a metal layer over a patterned dielectric by wet-chemical deposition with an electroless and a power controlled phase
JP4783169B2 (en)2006-02-132011-09-28パナソニック株式会社 Dry etching method, fine structure forming method, mold and manufacturing method thereof
CN101102979A (en)2006-02-132008-01-09松下电器产业株式会社 Dry etching method, fine structure forming method, template and method for manufacturing template
US7276796B1 (en)2006-03-152007-10-02International Business Machines CorporationFormation of oxidation-resistant seed layer for interconnect applications
US20070238301A1 (en)2006-03-282007-10-11Cabral Stephen HBatch processing system and method for performing chemical oxide removal
US7795148B2 (en)2006-03-282010-09-14Tokyo Electron LimitedMethod for removing damaged dielectric material
US7368393B2 (en)2006-04-202008-05-06International Business Machines CorporationChemical oxide removal of plasma damaged SiCOH low k dielectrics
US7828504B2 (en)2006-05-122010-11-09Axcellis Technologies, Inc.Combination load lock for handling workpieces
KR101254275B1 (en)2006-06-202013-04-23가부시키가이샤 아루박Apparatus and method for coating polyimide layer on the glass
US8232176B2 (en)2006-06-222012-07-31Applied Materials, Inc.Dielectric deposition and etch back processes for bottom up gapfill
US7416989B1 (en)2006-06-302008-08-26Novellus Systems, Inc.Adsorption based material removal process
US7435484B2 (en)2006-09-012008-10-14Asm Japan K.K.Ruthenium thin film-formed structure
KR100757418B1 (en)2006-09-052007-09-10삼성전자주식회사 Semiconductor element and method of forming the same
KR100881391B1 (en)2006-09-292009-02-05주식회사 하이닉스반도체 Gate Forming Method of Semiconductor Device
US20080174021A1 (en)2007-01-182008-07-24Samsung Electronics Co., Ltd.Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
CN101308794B (en)2007-05-152010-09-15应用材料股份有限公司 Atomic Layer Deposition of Tungsten Materials
KR101330707B1 (en)2007-07-192013-11-19삼성전자주식회사Method of forming Semiconducotr Device
US7655567B1 (en)2007-07-242010-02-02Novellus Systems, Inc.Methods for improving uniformity and resistivity of thin tungsten films
WO2009067381A1 (en)2007-11-212009-05-28Lam Research CorporationMethod of controlling etch microloading for a tungsten-containing layer
KR100939777B1 (en)2007-11-302010-01-29주식회사 하이닉스반도체 Tungsten film formation method and wiring formation method of semiconductor device using same
US7772114B2 (en)2007-12-052010-08-10Novellus Systems, Inc.Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en)2007-12-212011-11-08Novellus Systems, Inc.Methods for forming all tungsten contacts and lines
US8262800B1 (en)2008-02-122012-09-11Novellus Systems, Inc.Methods and apparatus for cleaning deposition reactors
US7964504B1 (en)2008-02-292011-06-21Novellus Systems, Inc.PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US8247030B2 (en)2008-03-072012-08-21Tokyo Electron LimitedVoid-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en)2008-04-092011-05-24Magic Technologies, Inc.Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en)2008-05-022012-08-28Micron Technology, Inc.Methods of removing silicon oxide
US8133797B2 (en)2008-05-162012-03-13Novellus Systems, Inc.Protective layer to enable damage free gap fill
US8058170B2 (en)2008-06-122011-11-15Novellus Systems, Inc.Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en)2008-08-292013-10-08Novellus Systems, Inc.Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en)2008-09-192010-03-25Advanced Micro Devices, Inc.Semiconductor device with improved contact plugs, and related fabrication methods
US7964502B2 (en)2008-11-252011-06-21Freescale Semiconductor, Inc.Multilayered through via
US8129270B1 (en)2008-12-102012-03-06Novellus Systems, Inc.Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100144140A1 (en)2008-12-102010-06-10Novellus Systems, Inc.Methods for depositing tungsten films having low resistivity for gapfill applications
WO2010077847A2 (en)2008-12-312010-07-08Applied Materials, Inc.Method of depositing tungsten film with reduced resistivity and improved surface morphology
US8236691B2 (en)2008-12-312012-08-07Micron Technology, Inc.Method of high aspect ratio plug fill
JP5550843B2 (en)2009-03-192014-07-16ラピスセミコンダクタ株式会社 Manufacturing method of semiconductor device
US20100267230A1 (en)2009-04-162010-10-21Anand ChandrashekarMethod for forming tungsten contacts and interconnects with small critical dimensions
TWI473726B (en)*2009-07-152015-02-21Nat Univ Tsing HuaMethod for forming modified metal layer
US8153520B1 (en)2009-08-032012-04-10Novellus Systems, Inc.Thinning tungsten layer after through silicon via filling
US8119527B1 (en)2009-08-042012-02-21Novellus Systems, Inc.Depositing tungsten into high aspect ratio features
US9548228B2 (en)2009-08-042017-01-17Lam Research CorporationVoid free tungsten fill in different sized features
US10256142B2 (en)2009-08-042019-04-09Novellus Systems, Inc.Tungsten feature fill with nucleation inhibition
US9034768B2 (en)*2010-07-092015-05-19Novellus Systems, Inc.Depositing tungsten into high aspect ratio features
US8124531B2 (en)2009-08-042012-02-28Novellus Systems, Inc.Depositing tungsten into high aspect ratio features
KR101604054B1 (en)2009-09-032016-03-16삼성전자주식회사Semiconductor devices and methods of forming thereof
US20110139748A1 (en)2009-12-152011-06-16University Of HoustonAtomic layer etching with pulsed plasmas
US8501629B2 (en)2009-12-232013-08-06Applied Materials, Inc.Smooth SiConi etch for silicon-containing films
US8227344B2 (en)*2010-02-262012-07-24Tokyo Electron LimitedHybrid in-situ dry cleaning of oxidized surface layers
US9129945B2 (en)2010-03-242015-09-08Applied Materials, Inc.Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US9373500B2 (en)2014-02-212016-06-21Lam Research CorporationPlasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
KR101340793B1 (en)*2010-07-092013-12-11노벨러스 시스템즈, 인코포레이티드Depositing tungsten into high aspect ratio features
WO2012023537A1 (en)2010-08-192012-02-23株式会社 アルバックDry etching method and method of manufacturing semiconductor device
US8778797B2 (en)2010-09-272014-07-15Novellus Systems, Inc.Systems and methods for selective tungsten deposition in vias
KR101739987B1 (en)2010-12-282017-05-26에스케이 텔레콤주식회사Video Encoding/Decoding Method and Apparatus Using Feature Vector of Adjacent Block
JP2012151187A (en)2011-01-172012-08-09Toshiba CorpManufacturing method of semiconductor storage device
US9064815B2 (en)*2011-03-142015-06-23Applied Materials, Inc.Methods for etch of metal and metal-oxide films
US8546263B2 (en)2011-04-272013-10-01Applied Materials, Inc.Method of patterning of magnetic tunnel junctions
US8883637B2 (en)2011-06-302014-11-11Novellus Systems, Inc.Systems and methods for controlling etch selectivity of various materials
JP5829926B2 (en)2011-07-062015-12-09東京エレクトロン株式会社 Method for forming tungsten film
US8916435B2 (en)2011-09-092014-12-23International Business Machines CorporationSelf-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US9666414B2 (en)*2011-10-272017-05-30Applied Materials, Inc.Process chamber for etching low k and other dielectric films
US8808561B2 (en)2011-11-152014-08-19Lam Research CoporationInert-dominant pulsing in plasma processing systems
US20130149852A1 (en)*2011-12-082013-06-13Tokyo Electron LimitedMethod for forming a semiconductor device
US8883028B2 (en)*2011-12-282014-11-11Lam Research CorporationMixed mode pulsing etching in plasma processing systems
US9190323B2 (en)*2012-01-192015-11-17GlobalFoundries, Inc.Semiconductor devices with copper interconnects and methods for fabricating same
US8785310B2 (en)*2012-01-272014-07-22Tokyo Electron LimitedMethod of forming conformal metal silicide films
KR102100520B1 (en)2012-03-272020-04-14노벨러스 시스템즈, 인코포레이티드Tungsten feature fill with nucleation inhibition
TWI602283B (en)2012-03-272017-10-11諾發系統有限公司Tungsten feature fill
US9330939B2 (en)2012-03-282016-05-03Applied Materials, Inc.Method of enabling seamless cobalt gap-fill
JP2014049466A (en)2012-08-292014-03-17Tokyo Electron LtdEtching processing method and substrate processing apparatus
US9230825B2 (en)2012-10-292016-01-05Lam Research CorporationMethod of tungsten etching
US9165783B2 (en)*2012-11-012015-10-20Applied Materials, Inc.Method of patterning a low-k dielectric film
JP5918108B2 (en)2012-11-162016-05-18東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US10214826B2 (en)*2013-01-292019-02-26Novellus Systems, Inc.Low copper electroplating solutions for fill and defect control
US9006095B2 (en)*2013-02-192015-04-14Taiwan Semiconductor Manufacturing Company, Ltd.Semiconductor devices and methods of manufacture thereof
JP2014160757A (en)2013-02-202014-09-04Toshiba CorpNonvolatile semiconductor storage device and manufacturing method of the same
US20140273451A1 (en)2013-03-132014-09-18Applied Materials, Inc.Tungsten deposition sequence
JP6049527B2 (en)*2013-04-052016-12-21東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US8906810B2 (en)*2013-05-072014-12-09Lam Research CorporationPulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US9082826B2 (en)2013-05-242015-07-14Lam Research CorporationMethods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
WO2014204620A1 (en)2013-06-172014-12-24Applied Materials, Inc.Method for copper plating through silicon vias using wet wafer back contact
JP6170754B2 (en)2013-06-182017-07-26株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6494940B2 (en)*2013-07-252019-04-03ラム リサーチ コーポレーションLam Research Corporation Void-free tungsten filling to different size features
US8980758B1 (en)*2013-09-172015-03-17Applied Materials, Inc.Methods for etching an etching stop layer utilizing a cyclical etching process
TWI649803B (en)2013-09-302019-02-01蘭姆研究公司Gapfill of variable aspect ratio features with a composite peald and pecvd method
WO2015057959A1 (en)2013-10-182015-04-23Brooks Automation, Inc.Processing apparatus
US20150111374A1 (en)*2013-10-182015-04-23International Business Machines CorporationSurface treatment in a dep-etch-dep process
JP6347695B2 (en)2013-11-202018-06-27東京エレクトロン株式会社 Method for etching a layer to be etched
US9435049B2 (en)2013-11-202016-09-06Lam Research CorporationAlkaline pretreatment for electroplating
TW201525173A (en)*2013-12-092015-07-01Applied Materials IncMethods of selective layer deposition
US9190293B2 (en)2013-12-182015-11-17Applied Materials, Inc.Even tungsten etch for high aspect ratio trenches
US9299575B2 (en)2014-03-172016-03-29Applied Materials, Inc.Gas-phase tungsten etch
US9257638B2 (en)2014-03-272016-02-09Lam Research CorporationMethod to etch non-volatile metal materials
US20150345029A1 (en)2014-05-282015-12-03Applied Materials, Inc.Metal removal
US9773683B2 (en)2014-06-092017-09-26American Air Liquide, Inc.Atomic layer or cyclic plasma etching chemistries and processes
US9768033B2 (en)2014-07-102017-09-19Tokyo Electron LimitedMethods for high precision etching of substrates
FR3023971B1 (en)*2014-07-182016-08-05Commissariat Energie Atomique METHOD FOR FORMING SPACERS OF A GRID OF A TRANSISTOR
US10049921B2 (en)2014-08-202018-08-14Lam Research CorporationMethod for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
KR20170102071A (en)*2014-08-272017-09-06울트라테크 인크.Improved through silicon via
US9520294B2 (en)2014-08-292016-12-13Applied Materials, Inc.Atomic layer etch process using an electron beam
US9666447B2 (en)2014-10-282017-05-30Tokyo Electron LimitedMethod for selectivity enhancement during dry plasma etching
US9609730B2 (en)2014-11-122017-03-28Lam Research CorporationAdjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en)2014-12-042019-01-01Lam Research CorporationTechnique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10381227B2 (en)2014-12-182019-08-13The Regents Of The University Of Colorado, A Body CorporateMethods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9576811B2 (en)2015-01-122017-02-21Lam Research CorporationIntegrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en)2015-04-202017-10-31Lam Research CorporationDry plasma etch method to pattern MRAM stack
US9870899B2 (en)2015-04-242018-01-16Lam Research CorporationCobalt etch back
JP2017022368A (en)2015-06-052017-01-26ラム リサーチ コーポレーションLam Research Corporation Atomic layer etching of GaN and other III-V materials
US9449843B1 (en)2015-06-092016-09-20Applied Materials, Inc.Selectively etching metals and metal nitrides conformally
US9922839B2 (en)2015-06-232018-03-20Lam Research CorporationLow roughness EUV lithography
US9972504B2 (en)2015-08-072018-05-15Lam Research CorporationAtomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en)2015-08-192018-10-09Lam Research CorporationAtomic layer etching of tungsten and other metals
US9520821B1 (en)2015-08-192016-12-13Nidec Motor CorporationSystem and method for optimizing flux regulation in electric motors
US9978610B2 (en)2015-08-212018-05-22Lam Research CorporationPulsing RF power in etch process to enhance tungsten gapfill performance
US9984858B2 (en)2015-09-042018-05-29Lam Research CorporationALE smoothness: in and outside semiconductor industry
WO2017099718A1 (en)2015-12-082017-06-15Intel CorporationAtomic layer etching of transition metals by halogen surface oxidation
US9991128B2 (en)2016-02-052018-06-05Lam Research CorporationAtomic layer etching in continuous plasma
US20170330764A1 (en)2016-05-122017-11-16Lam Research CorporationMethods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US9837312B1 (en)2016-07-222017-12-05Lam Research CorporationAtomic layer etching for enhanced bottom-up feature fill
US10566211B2 (en)2016-08-302020-02-18Lam Research CorporationContinuous and pulsed RF plasma for etching metals
US10566212B2 (en)2016-12-192020-02-18Lam Research CorporationDesigner atomic layer etching
US9997371B1 (en)2017-04-242018-06-12Lam Research CorporationAtomic layer etch methods and hardware for patterning applications
US11450513B2 (en)2018-03-302022-09-20Lam Research CorporationAtomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11177216B2 (en)*2018-09-062021-11-16Raytheon CompanyNitride structures having low capacitance gate contacts integrated with copper damascene structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US8617411B2 (en)*2011-07-202013-12-31Lam Research CorporationMethods and apparatus for atomic layer etching
US20140170853A1 (en)*2012-12-142014-06-19Lam Research CorporationImage reversal with ahm gap fill for multiple patterning

Cited By (40)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US10374144B2 (en)2015-04-202019-08-06Lam Research CorporationDry plasma etch method to pattern MRAM stack
US10749103B2 (en)2015-04-202020-08-18Lam Research CorporationDry plasma etch method to pattern MRAM stack
US10784086B2 (en)2015-04-242020-09-22Lam Research CorporationCobalt etch back
US11069535B2 (en)2015-08-072021-07-20Lam Research CorporationAtomic layer etch of tungsten for enhanced tungsten deposition fill
US10395944B2 (en)2015-08-212019-08-27Lam Research CorporationPulsing RF power in etch process to enhance tungsten gapfill performance
US10566211B2 (en)2016-08-302020-02-18Lam Research CorporationContinuous and pulsed RF plasma for etching metals
US11721558B2 (en)2016-12-192023-08-08Lam Research CorporationDesigner atomic layer etching
US10566213B2 (en)2016-12-192020-02-18Lam Research CorporationAtomic layer etching of tantalum
US10566212B2 (en)2016-12-192020-02-18Lam Research CorporationDesigner atomic layer etching
US11239094B2 (en)2016-12-192022-02-01Lam Research CorporationDesigner atomic layer etching
US12198951B2 (en)2017-03-102025-01-14Applied Materials, Inc.High pressure wafer processing systems and related methods
US11705337B2 (en)2017-05-252023-07-18Applied Materials, Inc.Tungsten defluorination by high pressure treatment
US11694912B2 (en)2017-08-182023-07-04Applied Materials, Inc.High pressure and high temperature anneal chamber
US11469113B2 (en)2017-08-182022-10-11Applied Materials, Inc.High pressure and high temperature anneal chamber
US11462417B2 (en)2017-08-182022-10-04Applied Materials, Inc.High pressure and high temperature anneal chamber
US11756803B2 (en)2017-11-112023-09-12Applied Materials, Inc.Gas delivery system for high pressure processing chamber
US11527421B2 (en)2017-11-112022-12-13Micromaterials, LLCGas delivery system for high pressure processing chamber
US11610773B2 (en)2017-11-172023-03-21Applied Materials, Inc.Condenser system for high pressure processing system
US11028479B2 (en)*2017-11-282021-06-08Tokyo Electron LimitedMethod of forming film
US11881411B2 (en)2018-03-092024-01-23Applied Materials, Inc.High pressure annealing process for metal containing materials
US11450513B2 (en)2018-03-302022-09-20Lam Research CorporationAtomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11581183B2 (en)2018-05-082023-02-14Applied Materials, Inc.Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US12000044B2 (en)2018-06-222024-06-04Applied Materials, Inc.Catalyzed deposition of metal films
US11361978B2 (en)2018-07-252022-06-14Applied Materials, Inc.Gas delivery module
CN112640086A (en)*2018-10-292021-04-09应用材料公司Liner-free continuous amorphous metal film
WO2020091961A1 (en)*2018-10-292020-05-07Applied Materials, Inc.Linerless continuous amorphous metal films
US10930493B2 (en)2018-10-292021-02-23Applied Materials, Inc.Linerless continuous amorphous metal films
US11749555B2 (en)2018-12-072023-09-05Applied Materials, Inc.Semiconductor processing system
WO2020150043A1 (en)*2019-01-152020-07-23Lam Research CorporationMetal atomic layer etch and deposition apparatuses and processes with metal-free ligands
US20220084838A1 (en)*2019-01-152022-03-17Lam Research CorporationMetal atomic layer etch and deposition apparatuses and processes with metal-free ligands
KR20210105439A (en)*2019-01-152021-08-26램 리써치 코포레이션 Metal Atomic Layer Etching and Deposition Apparatuses and Processes Using Metal-Free Ligands
KR102774836B1 (en)2019-01-152025-02-27램 리써치 코포레이션 Metal atomic layer etching and deposition devices and processes using metal-free ligands
US11024537B2 (en)2019-08-092021-06-01Applied Materials, Inc.Methods and apparatus for hybrid feature metallization
WO2021030074A1 (en)*2019-08-092021-02-18Applied Materials, Inc.Methods and apparatus for hybrid feature metallization
WO2021076212A1 (en)*2019-10-152021-04-22Applied Materials, Inc.Gap fill deposition process
US11101174B2 (en)2019-10-152021-08-24Applied Materials, Inc.Gap fill deposition process
US11901222B2 (en)2020-02-172024-02-13Applied Materials, Inc.Multi-step process for flowable gap-fill film
US11658043B2 (en)2020-09-032023-05-23Applied Materials, Inc.Selective anisotropic metal etch
US20230268223A1 (en)*2022-02-242023-08-24Taiwan Semiconductor Manufacturing Co., Ltd.Semiconductor devices and methods of manufacture
US12444651B2 (en)2022-06-282025-10-14Novellus Systems, Inc.Tungsten feature fill with nucleation inhibition

Also Published As

Publication numberPublication date
KR20210125968A (en)2021-10-19
CN113380695B (en)2025-06-17
SG10201606450VA (en)2017-03-30
US20200286743A1 (en)2020-09-10
JP2017053024A (en)2017-03-16
TWI814696B (en)2023-09-11
US20210305059A1 (en)2021-09-30
KR20220027913A (en)2022-03-08
KR20240069686A (en)2024-05-20
KR102510612B1 (en)2023-03-15
TW201717316A (en)2017-05-16
US9972504B2 (en)2018-05-15
TW202141696A (en)2021-11-01
US20170040214A1 (en)2017-02-09
US11069535B2 (en)2021-07-20
TWI828995B (en)2024-01-11
TWI840731B (en)2024-05-01
CN106449360A (en)2017-02-22
KR102312951B1 (en)2021-10-14
TW202217927A (en)2022-05-01
US20220115244A1 (en)2022-04-14
CN113380695A (en)2021-09-10
KR20170017789A (en)2017-02-15
KR20210125967A (en)2021-10-19
KR20230005066A (en)2023-01-09

Similar Documents

PublicationPublication DateTitle
US11069535B2 (en)Atomic layer etch of tungsten for enhanced tungsten deposition fill
US11721558B2 (en)Designer atomic layer etching
US10784086B2 (en)Cobalt etch back
US9837312B1 (en)Atomic layer etching for enhanced bottom-up feature fill
US10515816B2 (en)Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)

Legal Events

DateCodeTitleDescription
STPPInformation on status: patent application and granting procedure in general

Free format text:NON FINAL ACTION MAILED

STPPInformation on status: patent application and granting procedure in general

Free format text:RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPPInformation on status: patent application and granting procedure in general

Free format text:NON FINAL ACTION MAILED

STPPInformation on status: patent application and granting procedure in general

Free format text:RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPPInformation on status: patent application and granting procedure in general

Free format text:FINAL REJECTION MAILED

STCBInformation on status: application discontinuation

Free format text:ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION


[8]ページ先頭

©2009-2025 Movatter.jp