Movatterモバイル変換


[0]ホーム

URL:


US20140237281A1 - Data processing system - Google Patents

Data processing system
Download PDF

Info

Publication number
US20140237281A1
US20140237281A1US14/265,394US201414265394AUS2014237281A1US 20140237281 A1US20140237281 A1US 20140237281A1US 201414265394 AUS201414265394 AUS 201414265394AUS 2014237281 A1US2014237281 A1US 2014237281A1
Authority
US
United States
Prior art keywords
processing
circuitry
processing circuitry
hybrid
execution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/265,394
Inventor
Stephen John Hill
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ARM Ltd
Original Assignee
ARM Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ARM LtdfiledCriticalARM Ltd
Priority to US14/265,394priorityCriticalpatent/US20140237281A1/en
Assigned to ARM LIMITEDreassignmentARM LIMITEDASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: HILL, STEPHEN JOHN
Publication of US20140237281A1publicationCriticalpatent/US20140237281A1/en
Abandonedlegal-statusCriticalCurrent

Links

Images

Classifications

Definitions

Landscapes

Abstract

A data processing apparatus is provided comprising first processing circuitry, second processing circuitry and shared processing circuitry. The first processing circuitry and second processing circuitry are configured to operate in different first and second power domains respectively and the shared processing circuitry is configured to operate in a shared power domain. The data processing apparatus forms a uni-processing environment for executing a single instruction stream in which either the first processing circuitry and the shared processing circuitry operate together to execute the instruction stream or the second processing circuitry and the shared processing circuitry operate together to execute the single instruction stream. Execution flow transfer circuitry is provided for transferring at least one bit of processing-state restoration information between the two hybrid processing units.

Description

Claims (20)

I claim:
1. Apparatus for processing data comprising:
first processing circuitry configured to operate in a first power domain;
second processing circuitry configured to operate in a second power domain different from said first power domain;
shared processing circuitry configured to operate in a shared power domain such that said first processing circuitry and said shared processing circuitry are configurable to operate together to form a first hybrid processing unit having access to an external memory and said second processing circuitry and said shared processing circuitry are configurable to operate together to form a second hybrid processing unit having access to said external memory, wherein said first hybrid processing unit and said second hybrid processing unit together comprise a uni-processing environment for executing a single instruction stream;
execution flow transfer circuitry for transferring execution of said single instruction stream between said first hybrid processing unit and said second hybrid processing unit at a transfer execution point, wherein said execution flow transfer comprises transfer of at least one bit of processing-state restoration information from a source one of said first hybrid processing unit and said second hybrid processing unit to a destination one of said first hybrid processing unit and said second hybrid processing unit, said processing-state restoration information being information enabling said destination hybrid processing unit to successfully resume execution of said single instruction stream from said transfer execution point.
2. Apparatus as claimed inclaim 1, wherein said first processing circuitry and said second processing circuitry have different processing performance characteristics.
3. Apparatus as claimed inclaim 2, wherein said execution flow transfer circuitry comprises power control circuitry for independently controlling power to said first processing circuitry and said second processing circuitry such that each of said first processing circuitry and said second processing circuitry can be placed in a powered-up state in which it is ready to perform processing operations and a power-saving state in which it is awaiting activation.
4. Apparatus as claimed inclaim 3, wherein said power control circuitry is configured to independently control power to said shared circuitry.
5. Apparatus as claimed inclaim 4, wherein said power control circuitry is configured to control said shared circuitry to operate at a first level of power consumption when said first hybrid processing unit has control of execution of said single instruction stream and to operate at a second different level of power consumption when said second hybrid processing unit has control of execution of said single instruction stream.
6. Apparatus as claimed inclaim 3, wherein said power control circuitry is configured to switch one of said first processing circuitry and said second processing circuitry corresponding to said destination hybrid processing unit from said power-saving state to said powered-up state and to switch the other of said first processing circuitry and said second processing circuitry corresponding to said source hybrid processing unit from said powered-up state to said power-saving state as part of said execution transfer process.
7. Apparatus as claimed inclaim 1, comprising circuitry for stopping a clock signal to one of said first processing circuitry and said second processing circuitry that is not currently in control of execution of said single instruction stream.
8. Apparatus as claimed inclaim 2, wherein said first processing circuitry and said second processing circuitry are architecturally compatible and wherein said first processing circuitry differs micro-architecturally from said second processing circuitry.
9. Apparatus as claimed inclaim 8, wherein said architectural compatibility comprises compatibility of at least one of general purpose registers, control registers and instruction sets.
10. Apparatus as claimed inclaim 8, wherein said micro-architectural differences between said first processing circuitry and said second processing circuitry comprise at least one of: pipeline length, instruction issue width, cache configuration, branch prediction capability and translation lookaside buffer (TLB) configuration.
11. Apparatus as claimed inclaim 2, wherein one of said first processing circuitry and said second processing circuitry is higher performance processing circuitry relative to the other of said first processing circuitry and said second processing circuitry and wherein said other of said first processing circuitry and said second processing circuitry is higher efficiency processing circuitry relative to said one of said first processing circuitry and said second processing circuitry.
12. Apparatus as claimed inclaim 11, wherein said apparatus is fabricated on a single integrated circuit with said higher performance processing circuitry being substantially physically localized to a first area of said integrated circuit and said higher efficiency processing circuitry being substantially physically localized to a second area of said integrated circuit and wherein said second distinct area is different from said first distinct area.
13. Apparatus as claimed inclaim 1, wherein said shared processing circuitry comprises at least one of: cache circuitry, translation lookaside buffer circuitry, special purpose registers, bus interface circuitry, bus pins and trace circuitry.
14. Apparatus as claimed inclaim 1, wherein each of said first processing circuitry and said second processing circuitry comprises at least one of: a program counter, general purpose registers, branch prediction circuitry, decoding/sequencing circuitry, an execution datapath and load/store circuitry.
15. Apparatus as claimed inclaim 1, wherein said execution flow transfer circuitry is configured to initiate said transfer of execution of said single instruction stream from said source hybrid processing unit to said destination hybrid processing unit in response to a hardware trigger such that said transfer is functionally transparent to an operating system and software executing on said data processing apparatus.
16. Apparatus as claimed inclaim 15, wherein said hardware trigger is at least one of: a temperature sensor, a series of cache misses, initiation of a hardware page table walk, processing circuitry entering a polling state and processing circuitry entering a wait-for-interrupt state.
17. Apparatus as claimed inclaim 1, comprising performance-level varying circuitry configured to vary a processing performance level of at least one of said first hybrid processing unit and said second hybrid processing unit.
18. Apparatus as claimed inclaim 17, wherein said performance-level varying circuitry is configured to perform dynamic voltage and frequency scaling of processing performance of at least one of said first hybrid processing unit and said second hybrid processing unit by varying at least one of: a voltage of said first power domain; a voltage of said second power domain; a voltage of said shared power domain; a frequency of operation of said first processing circuitry; a frequency of operation of said second processing circuitry and a frequency of operation of said shared processing circuitry.
19. Apparatus for processing data comprising:
first means for processing configured to operate in a first power domain;
second means for processing configured to operate in a second power domain different from said first power domain;
means for shared processing configured to operate in a shared power domain such that said means for first processing and said means for shared processing are configurable to operate together to form a first means for hybrid processing having access to an external memory and said second means for processing and said means for shared processing are configurable to operate together to form a second means for hybrid processing having access to said external memory, wherein said first means for hybrid processing and said second means for hybrid processing together comprise a uni-processing environment for executing a single instruction stream;
means for execution flow transfer for transferring execution of said single instruction stream between said first means for hybrid processing and said second means for hybrid processing at a transfer execution point, wherein said execution flow transfer comprises transfer of at least one bit of processing-state restoration information from a source one of said first means for hybrid processing and said second means for hybrid processing to a destination one of said first means for hybrid processing and said second means for hybrid processing, said processing-state restoration information being information enabling said destination means for hybrid processing to successfully resume execution of said single instruction stream from said transfer execution point.
20. A data processing method comprising the steps of:
operating first processing circuitry in a first power domain;
operating second processing circuitry in a second power domain different from said first power domain;
operating shared processing circuitry in a shared power domain and forming a first hybrid processing unit by operating said first processing circuitry and said shared processing circuitry together, said first hybrid processing unit having access to an external memory;
forming a second hybrid processing unit by operating and said second processing circuitry and said shared processing circuitry together, said second hybrid processing unit having access to said external memory;
providing a uni-processing environment for executing a single instruction stream, said uni-processing environment comprising said hybrid processing circuitry and said second hybrid processing circuitry together;
transferring execution of said single instruction stream between said first hybrid processing circuitry and said second hybrid processing circuitry at a transfer execution point using transfer execution circuitry, wherein said execution flow transfer comprises transfer of at least one bit of processing-state restoration information from a source one of said first hybrid processing unit and said second hybrid processing unit to a destination one of said first hybrid processing unit and said second hybrid processing unit, said processing-state restoration information being information enabling said destination hybrid processing unit to successfully resume execution of said single instruction stream from said transfer execution point.
US14/265,3942010-04-302014-04-30Data processing systemAbandonedUS20140237281A1 (en)

Priority Applications (1)

Application NumberPriority DateFiling DateTitle
US14/265,394US20140237281A1 (en)2010-04-302014-04-30Data processing system

Applications Claiming Priority (2)

Application NumberPriority DateFiling DateTitle
US12/662,743US8751833B2 (en)2010-04-302010-04-30Data processing system
US14/265,394US20140237281A1 (en)2010-04-302014-04-30Data processing system

Related Parent Applications (1)

Application NumberTitlePriority DateFiling Date
US12/662,743ContinuationUS8751833B2 (en)2010-04-302010-04-30Data processing system

Publications (1)

Publication NumberPublication Date
US20140237281A1true US20140237281A1 (en)2014-08-21

Family

ID=43806890

Family Applications (2)

Application NumberTitlePriority DateFiling Date
US12/662,743Active2032-10-22US8751833B2 (en)2010-04-302010-04-30Data processing system
US14/265,394AbandonedUS20140237281A1 (en)2010-04-302014-04-30Data processing system

Family Applications Before (1)

Application NumberTitlePriority DateFiling Date
US12/662,743Active2032-10-22US8751833B2 (en)2010-04-302010-04-30Data processing system

Country Status (2)

CountryLink
US (2)US8751833B2 (en)
WO (1)WO2011135319A1 (en)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US7562179B2 (en)*2004-07-302009-07-14Intel CorporationMaintaining processor resources during architectural events
CN102129414B (en)*2010-01-152013-12-04华为技术有限公司Variable frequency bus adapter, adapting method and system
US8533505B2 (en)2010-03-012013-09-10Arm LimitedData processing apparatus and method for transferring workload between source and destination processing circuitry
WO2011155047A1 (en)*2010-06-102011-12-15富士通株式会社Multi-core processor system, method of power control, and power control program
EP2418580B1 (en)*2010-08-102012-10-10Siemens AktiengesellschaftMethod for operating a network and network
US8637212B2 (en)2010-12-222014-01-28Via Technologies, Inc.Reticle set modification to produce multi-core dies
US8930676B2 (en)2010-12-222015-01-06Via Technologies, Inc.Master core discovering enabled cores in microprocessor comprising plural multi-core dies
US8782451B2 (en)2010-12-222014-07-15Via Technologies, Inc.Power state synchronization in a multi-core processor
US8972707B2 (en)2010-12-222015-03-03Via Technologies, Inc.Multi-core processor with core selectively disabled by kill instruction of system software and resettable only via external pin
US8631256B2 (en)2010-12-222014-01-14Via Technologies, Inc.Distributed management of a shared power source to a multi-core microprocessor
US9460038B2 (en)*2010-12-222016-10-04Via Technologies, Inc.Multi-core microprocessor internal bypass bus
US9588924B2 (en)*2011-05-262017-03-07International Business Machines CorporationHybrid request/response and polling messaging model
GB2493194A (en)*2011-07-282013-01-30St Microelectronics Res & DevAlerting transaction initiators in an electronic circuit in the event of a power failure or circuit error
CN103186502B (en)*2011-12-302016-08-10世意法(北京)半导体研发有限责任公司 Register file organization for shared processor process context
US10025711B2 (en)2012-01-162018-07-17Qualcomm IncorporatedHybrid write-through/write-back cache policy managers, and related systems and methods
US20130205144A1 (en)*2012-02-062013-08-08Jeffrey R. EastlackLimitation of leakage power via dynamic enablement of execution units to accommodate varying performance demands
US8789046B2 (en)2012-03-302014-07-22International Business Machines CorporationMethod to embed a light-weight kernel in a full-weight kernel to provide a heterogeneous execution environment
US8918799B2 (en)*2012-03-302014-12-23International Business Machines CorporationMethod to utilize cores in different operating system partitions
US9582287B2 (en)2012-09-272017-02-28Intel CorporationProcessor having multiple cores, shared core extension logic, and shared core extension utilization instructions
WO2014070137A1 (en)2012-10-302014-05-08Empire Technology Development LlcWaved time multiplexing
US9354884B2 (en)2013-03-132016-05-31International Business Machines CorporationProcessor with hybrid pipeline capable of operating in out-of-order and in-order modes
CN104881381B (en)*2014-02-282018-01-26神讯电脑(昆山)有限公司Computer apparatus and its data path switching device and method
US9965279B2 (en)2013-11-292018-05-08The Regents Of The University Of MichiganRecording performance metrics to predict future execution of large instruction sequences on either high or low performance execution circuitry
US9870226B2 (en)2014-07-032018-01-16The Regents Of The University Of MichiganControl of switching between executed mechanisms
US9958932B2 (en)2014-11-202018-05-01Apple Inc.Processor including multiple dissimilar processor cores that implement different portions of instruction set architecture
US9898071B2 (en)*2014-11-202018-02-20Apple Inc.Processor including multiple dissimilar processor cores
CA2975248A1 (en)*2015-01-302016-08-04Nec CorporationNode system, server apparatus, scaling control method, and program
GB2538942B (en)*2015-04-162021-03-03Advanced Risc Mach LtdMultiple heterogeneous energy stores
US9952871B2 (en)2015-06-052018-04-24Arm LimitedControlling execution of instructions for a processing pipeline having first out-of order execution circuitry and second execution circuitry
GB2539037B (en)2015-06-052020-11-04Advanced Risc Mach LtdApparatus having processing pipeline with first and second execution circuitry, and method
GB2546465B (en)2015-06-052018-02-28Advanced Risc Mach LtdModal processing of program instructions
US9928115B2 (en)*2015-09-032018-03-27Apple Inc.Hardware migration between dissimilar cores
US10447461B2 (en)*2015-12-012019-10-15Infineon Technologies Austria AgAccessing data via different clocks
US10591977B2 (en)2015-12-102020-03-17Arm LimitedSegregated power state control in a distributed cache system
GB2545718A (en)*2015-12-232017-06-28Nordic Semiconductor AsaRadio transceivers
US9960670B2 (en)*2016-03-112018-05-01Nxp B.V.Apparatus for charge recycling
US10552212B2 (en)2016-11-282020-02-04Arm LimitedData processing
US10671426B2 (en)*2016-11-282020-06-02Arm LimitedData processing
US10423446B2 (en)2016-11-282019-09-24Arm LimitedData processing
US10521271B2 (en)*2017-04-012019-12-31Intel CorporationHybrid low power homogenous grapics processing units
US11055105B2 (en)*2018-08-312021-07-06Micron Technology, Inc.Concurrent image measurement and execution
US11086715B2 (en)*2019-01-182021-08-10Arm LimitedTouch instruction
EP3876155B1 (en)*2020-03-022023-02-22Nxp B.V.Rfid device and method of operating an rfid device
US20240053983A1 (en)*2022-08-102024-02-15Google LlcPerformance Optimized Task Duplication and Migration

Citations (7)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US6240521B1 (en)*1998-09-102001-05-29International Business Machines Corp.Sleep mode transition between processors sharing an instruction set and an address space
US6631474B1 (en)*1999-12-312003-10-07Intel CorporationSystem to coordinate switching between first and second processors and to coordinate cache coherency between first and second processors during switching
US20040025161A1 (en)*2002-07-312004-02-05Texas Instruments IncorporatedConcurrent task execution in a multi-processor, single operating system environment
US6725354B1 (en)*2000-06-152004-04-20International Business Machines CorporationShared execution unit in a dual core processor
US20060020831A1 (en)*2004-06-302006-01-26Sun Microsystems, Inc.Method and appratus for power throttling in a multi-thread processor
US7100060B2 (en)*2002-06-262006-08-29Intel CorporationTechniques for utilization of asymmetric secondary processing resources
US8255723B2 (en)*2009-07-242012-08-28Freescale Semiconductor, Inc.Device having multiple instruction execution modules and a management method

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
JPH09138716A (en)1995-11-141997-05-27Toshiba Corp Electronic computer
JP3864509B2 (en)1997-08-192007-01-10株式会社日立製作所 Multiprocessor system
JPH11203254A (en)*1998-01-141999-07-30Nec CorpShared process control device and machine readable recording medium for storing program
US6501999B1 (en)1999-12-222002-12-31Intel CorporationMulti-processor mobile computer system having one processor integrated with a chipset
JP2002215597A (en)2001-01-152002-08-02Mitsubishi Electric Corp Multiprocessor device
US7487502B2 (en)*2003-02-192009-02-03Intel CorporationProgrammable event driven yield mechanism which may activate other threads
US20040225840A1 (en)2003-05-092004-11-11O'connor Dennis M.Apparatus and method to provide multithreaded computer processing
US20050132239A1 (en)2003-12-162005-06-16Athas William C.Almost-symmetric multiprocessor that supports high-performance and energy-efficient execution
US20060064606A1 (en)2004-09-212006-03-23International Business Machines CorporationA method and apparatus for controlling power consumption in an integrated circuit
US7275124B2 (en)2005-02-242007-09-25International Business Machines CorporationMethod and system for controlling forwarding or terminating of a request at a bus interface based on buffer availability
US7461275B2 (en)2005-09-302008-12-02Intel CorporationDynamic core swapping
US20080263324A1 (en)2006-08-102008-10-23Sehat SutardjaDynamic core switching
US8527709B2 (en)2007-07-202013-09-03Intel CorporationTechnique for preserving cached information during a low power mode
US7996663B2 (en)*2007-12-272011-08-09Intel CorporationSaving and restoring architectural state for processor cores
US20110213947A1 (en)2008-06-112011-09-01John George MathiesonSystem and Method for Power Optimization
US8566628B2 (en)2009-05-062013-10-22Advanced Micro Devices, Inc.North-bridge to south-bridge protocol for placing processor in low power state
US9367462B2 (en)*2009-12-292016-06-14Empire Technology Development LlcShared memories for energy efficient multi-core processors

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US6240521B1 (en)*1998-09-102001-05-29International Business Machines Corp.Sleep mode transition between processors sharing an instruction set and an address space
US6631474B1 (en)*1999-12-312003-10-07Intel CorporationSystem to coordinate switching between first and second processors and to coordinate cache coherency between first and second processors during switching
US6725354B1 (en)*2000-06-152004-04-20International Business Machines CorporationShared execution unit in a dual core processor
US7100060B2 (en)*2002-06-262006-08-29Intel CorporationTechniques for utilization of asymmetric secondary processing resources
US20040025161A1 (en)*2002-07-312004-02-05Texas Instruments IncorporatedConcurrent task execution in a multi-processor, single operating system environment
US20060020831A1 (en)*2004-06-302006-01-26Sun Microsystems, Inc.Method and appratus for power throttling in a multi-thread processor
US8255723B2 (en)*2009-07-242012-08-28Freescale Semiconductor, Inc.Device having multiple instruction execution modules and a management method

Also Published As

Publication numberPublication date
WO2011135319A1 (en)2011-11-03
US20110271126A1 (en)2011-11-03
US8751833B2 (en)2014-06-10

Similar Documents

PublicationPublication DateTitle
US8751833B2 (en)Data processing system
RU2520411C2 (en)Data processing apparatus and method of switching workload between first and second processing circuitry
US9720730B2 (en)Providing an asymmetric multicore processor system transparently to an operating system
US10802567B2 (en)Performing local power gating in a processor
RU2550535C2 (en)Data processing device and method of transferring workload between source and destination processing circuitry
US10185566B2 (en)Migrating tasks between asymmetric computing elements of a multi-core processor
JP4938080B2 (en) Multiprocessor control device, multiprocessor control method, and multiprocessor control circuit
US7290261B2 (en)Method and logical apparatus for rename register reallocation in a simultaneous multi-threaded (SMT) processor
US8589938B2 (en)Composite contention aware task scheduling
WO2017105638A1 (en)Systems, methods and devices for work placement on processor cores
TW201337771A (en)A method, apparatus, and system for energy efficiency and energy conservation including thread consolidation
US9870226B2 (en)Control of switching between executed mechanisms
US20170351520A1 (en)Thread switching in microprocessor without full save and restore of register file
Wells et al.Dynamic heterogeneity and the need for multicore virtualization
JP7495422B2 (en) Systems, apparatus and methods for adaptive interconnect routing - Patents.com
Gutierrez et al.Evaluating private vs. shared last-level caches for energy efficiency in asymmetric multi-cores
Nellans et al.OS execution on multi-cores: is out-sourcing worthwhile?
Shieh et al.Saving register-file static power by monitoring instruction sequence in ROB
US20250265092A1 (en)Dynamic reconfiguration of a multi-core processor to a unified core
US20250265224A1 (en)Dynamic reconfiguration of a unified core processor to a multi-core processor
CN120508530A (en) Dynamic reconfiguration from a unified core processor to a multi-core processor

Legal Events

DateCodeTitleDescription
ASAssignment

Owner name:ARM LIMITED, UNITED KINGDOM

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HILL, STEPHEN JOHN;REEL/FRAME:033148/0367

Effective date:20140507

STCBInformation on status: application discontinuation

Free format text:ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION


[8]ページ先頭

©2009-2025 Movatter.jp