Movatterモバイル変換


[0]ホーム

URL:


US20100306513A1 - Processor Core and Method for Managing Program Counter Redirection in an Out-of-Order Processor Pipeline - Google Patents

Processor Core and Method for Managing Program Counter Redirection in an Out-of-Order Processor Pipeline
Download PDF

Info

Publication number
US20100306513A1
US20100306513A1US12/794,370US79437010AUS2010306513A1US 20100306513 A1US20100306513 A1US 20100306513A1US 79437010 AUS79437010 AUS 79437010AUS 2010306513 A1US2010306513 A1US 2010306513A1
Authority
US
United States
Prior art keywords
instruction
instructions
instruction identification
program
control transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/794,370
Inventor
Kjeld Svendsen
Maria Ukanwa
Karagada Ramarao Kishore
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ARM Finance Overseas Ltd
Original Assignee
MIPS Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MIPS Technologies IncfiledCriticalMIPS Technologies Inc
Priority to US12/794,370priorityCriticalpatent/US20100306513A1/en
Assigned to MIPS TECHNOLOGIES, INC.reassignmentMIPS TECHNOLOGIES, INC.ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: KISHORE, KARAGADA RAMARAO, SVENDSEN, KJELD, UKANWA, MARIA
Publication of US20100306513A1publicationCriticalpatent/US20100306513A1/en
Assigned to BRIDGE CROSSING, LLCreassignmentBRIDGE CROSSING, LLCASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: MIPS TECHNOLOGIES, INC.
Assigned to ARM FINANCE OVERSEAS LIMITEDreassignmentARM FINANCE OVERSEAS LIMITEDASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: BRIDGE CROSSING, LLC
Abandonedlegal-statusCriticalCurrent

Links

Images

Classifications

Definitions

Landscapes

Abstract

A processor core and method for managing program counter redirection in an out-of-order processor pipeline. In one embodiment, the pipeline of the processor core includes a front-end instruction fetch portion, a back-end instruction execution portion, and pipeline control logic. Operation of the instruction fetch portion is decoupled from operation of the instruction execution portion. Following detection of a control transfer misprediction, operation of the instruction fetch portion is halted and instructions residing in the instruction fetch portion are invalidated. When the instruction associated with the misprediction reaches a selected pipeline stage, instructions residing in the instruction execution portion of the pipeline are invalidated and the flow of instructions from the instruction fetch portion to the instruction execution portion of the processor pipeline is restarted. A mispredict instruction identification checker and instruction identification tags are used to determine if a control transfer instruction is permitted to redirect instruction fetching.

Description

Claims (13)

1. A non-transitory computer-readable storage medium having stored thereon computer-readable program code to generate a processor core having an out-of-order pipeline, the processor core comprising:
an instruction identification generator that generates instruction identification tags used to identify a program order of instructions; and
a mispredict instruction identification checker that compares a first instruction identification tag associated with a first control transfer instruction to a second instruction identification tag stored in a register of the mispredict instruction identification checker, the second identification tag being associated with a second control transfer instruction,
wherein if the comparison of the first instruction identification tag and the second instruction identification tag indicates that the first control transfer instruction executed out of program order relative to the second control transfer instruction, the mispredict instruction identification checker generates a signal that enables instruction fetching to be redirected in accordance with a program counter value associated with the first control transfer instruction.
13. A non-transitory computer-readable storage medium having stored thereon computer-readable program code to generate a processor core having an out-of-order pipeline, the processor core comprising:
first computer readable program code for providing an instruction identification generator that generates instruction identification tags used to identify a program order of instructions; and
second computer readable program code for providing a mispredict instruction identification checker that compares a first instruction identification tag associated with a first control transfer instruction to a second instruction identification tag stored in a register of the mispredict instruction identification checker, the second identification tag being associated with a second control transfer instruction,
wherein if the comparison of the first instruction identification tag and the second instruction identification tag indicates that the first control transfer instruction executed out of program order relative to the second control transfer instruction, the mispredict instruction identification checker generates a signal that enables instruction fetching to be redirected in accordance with a program counter value associated with the first control transfer instruction.
US12/794,3702005-10-312010-06-04Processor Core and Method for Managing Program Counter Redirection in an Out-of-Order Processor PipelineAbandonedUS20100306513A1 (en)

Priority Applications (1)

Application NumberPriority DateFiling DateTitle
US12/794,370US20100306513A1 (en)2005-10-312010-06-04Processor Core and Method for Managing Program Counter Redirection in an Out-of-Order Processor Pipeline

Applications Claiming Priority (2)

Application NumberPriority DateFiling DateTitle
US11/261,655US7734901B2 (en)2005-10-312005-10-31Processor core and method for managing program counter redirection in an out-of-order processor pipeline
US12/794,370US20100306513A1 (en)2005-10-312010-06-04Processor Core and Method for Managing Program Counter Redirection in an Out-of-Order Processor Pipeline

Related Parent Applications (1)

Application NumberTitlePriority DateFiling Date
US11/261,655ContinuationUS7734901B2 (en)2005-10-312005-10-31Processor core and method for managing program counter redirection in an out-of-order processor pipeline

Publications (1)

Publication NumberPublication Date
US20100306513A1true US20100306513A1 (en)2010-12-02

Family

ID=37997987

Family Applications (2)

Application NumberTitlePriority DateFiling Date
US11/261,655Active2027-01-19US7734901B2 (en)2005-10-312005-10-31Processor core and method for managing program counter redirection in an out-of-order processor pipeline
US12/794,370AbandonedUS20100306513A1 (en)2005-10-312010-06-04Processor Core and Method for Managing Program Counter Redirection in an Out-of-Order Processor Pipeline

Family Applications Before (1)

Application NumberTitlePriority DateFiling Date
US11/261,655Active2027-01-19US7734901B2 (en)2005-10-312005-10-31Processor core and method for managing program counter redirection in an out-of-order processor pipeline

Country Status (1)

CountryLink
US (2)US7734901B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US7734901B2 (en)*2005-10-312010-06-08Mips Technologies, Inc.Processor core and method for managing program counter redirection in an out-of-order processor pipeline
US7711934B2 (en)*2005-10-312010-05-04Mips Technologies, Inc.Processor core and method for managing branch misprediction in an out-of-order processor pipeline
US9268569B2 (en)2012-02-242016-02-23Apple Inc.Branch misprediction behavior suppression on zero predicate branch mispredict
US10613867B1 (en)*2017-07-192020-04-07Apple Inc.Suppressing pipeline redirection indications
CN113867682B (en)*2021-12-062022-02-22广东省新一代通信与网络创新研究院Coprocessor for realizing floating-point number out-of-order conversion

Citations (69)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US5091851A (en)*1989-07-191992-02-25Hewlett-Packard CompanyFast multiple-word accesses from a multi-way set-associative cache memory
US5109520A (en)*1985-02-191992-04-28Tektronix, Inc.Image frame buffer access speedup by providing multiple buffer controllers each containing command FIFO buffers
US5325511A (en)*1990-06-151994-06-28Compaq Computer Corp.True least recently used replacement method and apparatus
US5493523A (en)*1993-12-151996-02-20Silicon Graphics, Inc.Mechanism and method for integer divide involving pre-alignment of the divisor relative to the dividend
US5493667A (en)*1993-02-091996-02-20Intel CorporationApparatus and method for an instruction cache locking scheme
US5510934A (en)*1993-12-151996-04-23Silicon Graphics, Inc.Memory system including local and global caches for storing floating point and integer data
US5526504A (en)*1993-12-151996-06-11Silicon Graphics, Inc.Variable page size translation lookaside buffer
US5537538A (en)*1993-12-151996-07-16Silicon Graphics, Inc.Debug mode for a superscalar RISC processor
US5546454A (en)*1994-03-211996-08-13Harrington; Noreen A.Automatic call distributor with telephonic call holding system
US5568630A (en)*1991-03-111996-10-22Silicon Graphics, Inc.Backward-compatible computer architecture with extended word size and address space
US5572704A (en)*1993-12-151996-11-05Silicon Graphics, Inc.System and method for controlling split-level caches in a multi-processor system including data loss and deadlock prevention schemes
US5586278A (en)*1994-03-011996-12-17Intel CorporationMethod and apparatus for state recovery following branch misprediction in an out-of-order microprocessor
US5604909A (en)*1993-12-151997-02-18Silicon Graphics Computer Systems, Inc.Apparatus for processing instructions in a computing system
US5604912A (en)*1992-12-311997-02-18Seiko Epson CorporationSystem and method for assigning tags to instructions to control instruction execution
US5606683A (en)*1994-01-281997-02-25Quantum Effect Design, Inc.Structure and method for virtual-to-physical address translation in a translation lookaside buffer
US5670898A (en)*1995-11-221997-09-23Silicon Graphics, Inc.Low-power, compact digital logic topology that facilitates large fan-in and high-speed circuit performance
US5734881A (en)*1995-12-151998-03-31Cyrix CorporationDetecting short branches in a prefetch buffer using target location information in a branch target cache
US5740402A (en)*1993-12-151998-04-14Silicon Graphics, Inc.Conflict resolution in interleaved memory systems with multiple parallel accesses
US5758112A (en)*1994-10-141998-05-26Silicon Graphics, Inc.Pipeline processor with enhanced method and apparatus for restoring register-renaming information in the event of a branch misprediction
US5765037A (en)*1985-10-311998-06-09Biax CorporationSystem for executing instructions with delayed firing times
US5764999A (en)*1995-10-101998-06-09Cyrix CorporationEnhanced system management mode with nesting
US5781753A (en)*1989-02-241998-07-14Advanced Micro Devices, Inc.Semi-autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for speculative and out-of-order execution of complex instructions
US5784584A (en)*1989-08-031998-07-21Patriot Scientific CorporationHigh performance microprocessor using instructions that operate within instruction groups
US5799165A (en)*1996-01-261998-08-25Advanced Micro Devices, Inc.Out-of-order processing that removes an issued operation from an execution pipeline upon determining that the operation would cause a lengthy pipeline delay
US5799167A (en)*1996-05-151998-08-25Hewlett-Packard CompanyInstruction nullification system and method for a processor that executes instructions out of order
US5802339A (en)*1994-11-151998-09-01Advanced Micro DevicesPipeline throughput via parallel out-of-order execution of adds and moves in a supplemental integer execution unit
US5802386A (en)*1996-11-191998-09-01International Business Machines CorporationLatency-based scheduling of instructions in a superscalar processor
US5809326A (en)*1995-09-251998-09-15Kabushiki Kaisha ToshibaSignal processor and method of operating a signal processor
US5864707A (en)*1995-12-111999-01-26Advanced Micro Devices, Inc.Superscalar microprocessor configured to predict return addresses from a return stack storage
US5881257A (en)*1996-09-231999-03-09Arm LimitedData processing system register control
US5884061A (en)*1994-10-241999-03-16International Business Machines CorporationApparatus to perform source operand dependency analysis perform register renaming and provide rapid pipeline recovery for a microprocessor capable of issuing and executing multiple instructions out-of-order in a single processor cycle
US5961629A (en)*1991-07-081999-10-05Seiko Epson CorporationHigh performance, superscalar-based computer system with out-of-order instruction execution
US5966734A (en)*1996-10-181999-10-12Samsung Electronics Co., Ltd.Resizable and relocatable memory scratch pad as a cache slice
US6044478A (en)*1997-05-302000-03-28National Semiconductor CorporationCache with finely granular locked-down regions
US6076159A (en)*1997-09-122000-06-13Siemens AktiengesellschaftExecution of a loop instructing in a loop pipeline after detection of a first occurrence of the loop instruction in an integer pipeline
US6079014A (en)*1993-12-022000-06-20Intel CorporationProcessor that redirects an instruction fetch pipeline immediately upon detection of a mispredicted branch while committing prior instructions to an architectural state
US6085315A (en)*1997-09-122000-07-04Siemens AktiengesellschaftData processing device with loop pipeline
US6216200B1 (en)*1994-10-142001-04-10Mips Technologies, Inc.Address queue
US6223278B1 (en)*1998-11-052001-04-24Intel CorporationMethod and apparatus for floating point (FP) status word handling in an out-of-order (000) Processor Pipeline
US6249862B1 (en)*1996-05-172001-06-19Advanced Micro Devices, Inc.Dependency table for reducing dependency checking hardware
US6266755B1 (en)*1994-10-142001-07-24Mips Technologies, Inc.Translation lookaside buffer with virtual address conflict prevention
US6289442B1 (en)*1998-10-052001-09-11Advanced Micro Devices, Inc.Circuit and method for tagging and invalidating speculatively executed instructions
US6298438B1 (en)*1996-12-022001-10-02Advanced Micro Devices, Inc.System and method for conditional moving an operand from a source register to destination register
US6304960B1 (en)*1998-08-062001-10-16Intel CorporationValidating prediction for branches in a cluster via comparison of predicted and condition selected tentative target addresses and validation of branch conditions
US6308252B1 (en)*1999-02-042001-10-23Kabushiki Kaisha ToshibaProcessor method and apparatus for performing single operand operation and multiple parallel operand operation
US6393550B1 (en)*1993-12-302002-05-21Intel CorporationMethod and apparatus for pipeline streamlining where resources are immediate or certainly retired
US6430655B1 (en)*2000-01-312002-08-06Mips Technologies, Inc.Scratchpad RAM memory accessible in parallel to a primary cache
US6473837B1 (en)*1999-05-182002-10-29Advanced Micro Devices, Inc.Snoop resynchronization mechanism to preserve read ordering
US6477639B1 (en)*1999-10-012002-11-05Hitachi, Ltd.Branch instruction mechanism for processor
US20020174322A1 (en)*2001-05-172002-11-21Kruckemyer David A.Method for cancelling conditional delay slot instructions
US6505285B1 (en)*2000-06-262003-01-07Ncr CorporationScratch segment subsystem for a parallel processing database system
US6546477B1 (en)*1999-09-202003-04-08Texas Instruments IncorporatedMemory management in embedded systems with dynamic object instantiation
US6557127B1 (en)*2000-02-282003-04-29Cadence Design Systems, Inc.Method and apparatus for testing multi-port memories
US6594728B1 (en)*1994-10-142003-07-15Mips Technologies, Inc.Cache memory with dual-way arrays and multiplexed parallel output
US6757817B1 (en)*2000-05-192004-06-29Intel CorporationApparatus having a cache and a loop buffer
US6760835B1 (en)*2000-11-222004-07-06Lsi Logic CorporationInstruction branch mispredict streaming
US20040193858A1 (en)*2003-03-242004-09-30Infineon Technologies North America Corp.Zero-overhead loop operation in microprocessor having instruction buffer
US6801997B2 (en)*1999-05-112004-10-05Sun Microsystems, Inc.Multiple-thread processor with single-thread interface shared among threads
US6836833B1 (en)*2002-10-222004-12-28Mips Technologies, Inc.Apparatus and method for discovering a scratch pad memory configuration
US6915395B1 (en)*2000-05-032005-07-05Sun Microsystems, Inc.Active address content addressable memory
US7028165B2 (en)*2000-12-062006-04-11Intel CorporationProcessor stalling
US7032226B1 (en)*2000-06-302006-04-18Mips Technologies, Inc.Methods and apparatus for managing a buffer of events in the background
US20060095732A1 (en)*2004-08-302006-05-04Tran Thang MProcesses, circuits, devices, and systems for scoreboard and other processor improvements
US20060149904A1 (en)*1995-03-242006-07-06Silicon Graphics, Inc.Prefetching hints
US20060259747A1 (en)*2003-07-292006-11-16Stretch, Inc.Long instruction word processing with instruction extensions
US7401205B1 (en)*1999-08-132008-07-15Mips Technologies, Inc.High performance RISC instruction set digital signal processor having circular buffer and looping controls
US7502876B1 (en)*2000-06-232009-03-10Mips Technologies, Inc.Background memory manager that determines if data structures fits in memory with memory state transactions map
US7711934B2 (en)*2005-10-312010-05-04Mips Technologies, Inc.Processor core and method for managing branch misprediction in an out-of-order processor pipeline
US7734901B2 (en)*2005-10-312010-06-08Mips Technologies, Inc.Processor core and method for managing program counter redirection in an out-of-order processor pipeline

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US5546545A (en)1994-12-091996-08-13International Business Machines CorporationRotating priority selection logic circuit
US5864341A (en)1996-12-091999-01-26International Business Machines CorporationInstruction dispatch unit and method for dynamically classifying and issuing instructions to execution units with non-uniform forwarding
US20010052053A1 (en)2000-02-082001-12-13Mario NemirovskyStream processing unit for a multi-streaming processor

Patent Citations (77)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US5109520A (en)*1985-02-191992-04-28Tektronix, Inc.Image frame buffer access speedup by providing multiple buffer controllers each containing command FIFO buffers
US5765037A (en)*1985-10-311998-06-09Biax CorporationSystem for executing instructions with delayed firing times
US5781753A (en)*1989-02-241998-07-14Advanced Micro Devices, Inc.Semi-autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for speculative and out-of-order execution of complex instructions
US5091851A (en)*1989-07-191992-02-25Hewlett-Packard CompanyFast multiple-word accesses from a multi-way set-associative cache memory
US5784584A (en)*1989-08-031998-07-21Patriot Scientific CorporationHigh performance microprocessor using instructions that operate within instruction groups
US5809336A (en)*1989-08-031998-09-15Patriot Scientific CorporationHigh performance microprocessor having variable speed system clock
US6598148B1 (en)*1989-08-032003-07-22Patriot Scientific CorporationHigh performance microprocessor having variable speed system clock
US5325511A (en)*1990-06-151994-06-28Compaq Computer Corp.True least recently used replacement method and apparatus
US5568630A (en)*1991-03-111996-10-22Silicon Graphics, Inc.Backward-compatible computer architecture with extended word size and address space
US5961629A (en)*1991-07-081999-10-05Seiko Epson CorporationHigh performance, superscalar-based computer system with out-of-order instruction execution
US5604912A (en)*1992-12-311997-02-18Seiko Epson CorporationSystem and method for assigning tags to instructions to control instruction execution
US5493667A (en)*1993-02-091996-02-20Intel CorporationApparatus and method for an instruction cache locking scheme
US6079014A (en)*1993-12-022000-06-20Intel CorporationProcessor that redirects an instruction fetch pipeline immediately upon detection of a mispredicted branch while committing prior instructions to an architectural state
US5572704A (en)*1993-12-151996-11-05Silicon Graphics, Inc.System and method for controlling split-level caches in a multi-processor system including data loss and deadlock prevention schemes
US6247124B1 (en)*1993-12-152001-06-12Mips Technologies, Inc.Branch prediction entry with target line index calculated using relative position of second operation of two step branch operation in a line of instructions
US5632025A (en)*1993-12-151997-05-20Silicon Graphics, Inc.Method for preventing multi-level cache system deadlock in a multi-processor system
US5954815A (en)*1993-12-151999-09-21Silicon Graphics, Inc.Invalidating instructions in fetched instruction blocks upon predicted two-step branch operations with second operation relative target address
US5493523A (en)*1993-12-151996-02-20Silicon Graphics, Inc.Mechanism and method for integer divide involving pre-alignment of the divisor relative to the dividend
US5740402A (en)*1993-12-151998-04-14Silicon Graphics, Inc.Conflict resolution in interleaved memory systems with multiple parallel accesses
US5526504A (en)*1993-12-151996-06-11Silicon Graphics, Inc.Variable page size translation lookaside buffer
US5604909A (en)*1993-12-151997-02-18Silicon Graphics Computer Systems, Inc.Apparatus for processing instructions in a computing system
US5510934A (en)*1993-12-151996-04-23Silicon Graphics, Inc.Memory system including local and global caches for storing floating point and integer data
US5537538A (en)*1993-12-151996-07-16Silicon Graphics, Inc.Debug mode for a superscalar RISC processor
US6691221B2 (en)*1993-12-152004-02-10Mips Technologies, Inc.Loading previously dispatched slots in multiple instruction dispatch buffer before dispatching remaining slots for parallel execution
US6393550B1 (en)*1993-12-302002-05-21Intel CorporationMethod and apparatus for pipeline streamlining where resources are immediate or certainly retired
US5606683A (en)*1994-01-281997-02-25Quantum Effect Design, Inc.Structure and method for virtual-to-physical address translation in a translation lookaside buffer
US5586278A (en)*1994-03-011996-12-17Intel CorporationMethod and apparatus for state recovery following branch misprediction in an out-of-order microprocessor
US5546454A (en)*1994-03-211996-08-13Harrington; Noreen A.Automatic call distributor with telephonic call holding system
US6594728B1 (en)*1994-10-142003-07-15Mips Technologies, Inc.Cache memory with dual-way arrays and multiplexed parallel output
US6266755B1 (en)*1994-10-142001-07-24Mips Technologies, Inc.Translation lookaside buffer with virtual address conflict prevention
US5758112A (en)*1994-10-141998-05-26Silicon Graphics, Inc.Pipeline processor with enhanced method and apparatus for restoring register-renaming information in the event of a branch misprediction
US6216200B1 (en)*1994-10-142001-04-10Mips Technologies, Inc.Address queue
US5884061A (en)*1994-10-241999-03-16International Business Machines CorporationApparatus to perform source operand dependency analysis perform register renaming and provide rapid pipeline recovery for a microprocessor capable of issuing and executing multiple instructions out-of-order in a single processor cycle
US5802339A (en)*1994-11-151998-09-01Advanced Micro DevicesPipeline throughput via parallel out-of-order execution of adds and moves in a supplemental integer execution unit
US20060149904A1 (en)*1995-03-242006-07-06Silicon Graphics, Inc.Prefetching hints
US5809326A (en)*1995-09-251998-09-15Kabushiki Kaisha ToshibaSignal processor and method of operating a signal processor
US5764999A (en)*1995-10-101998-06-09Cyrix CorporationEnhanced system management mode with nesting
US5670898A (en)*1995-11-221997-09-23Silicon Graphics, Inc.Low-power, compact digital logic topology that facilitates large fan-in and high-speed circuit performance
US6269436B1 (en)*1995-12-112001-07-31Advanced Micro Devices, Inc.Superscalar microprocessor configured to predict return addresses from a return stack storage
US5864707A (en)*1995-12-111999-01-26Advanced Micro Devices, Inc.Superscalar microprocessor configured to predict return addresses from a return stack storage
US5734881A (en)*1995-12-151998-03-31Cyrix CorporationDetecting short branches in a prefetch buffer using target location information in a branch target cache
US5799165A (en)*1996-01-261998-08-25Advanced Micro Devices, Inc.Out-of-order processing that removes an issued operation from an execution pipeline upon determining that the operation would cause a lengthy pipeline delay
US5799167A (en)*1996-05-151998-08-25Hewlett-Packard CompanyInstruction nullification system and method for a processor that executes instructions out of order
US6249862B1 (en)*1996-05-172001-06-19Advanced Micro Devices, Inc.Dependency table for reducing dependency checking hardware
US5881257A (en)*1996-09-231999-03-09Arm LimitedData processing system register control
US5966734A (en)*1996-10-181999-10-12Samsung Electronics Co., Ltd.Resizable and relocatable memory scratch pad as a cache slice
US5802386A (en)*1996-11-191998-09-01International Business Machines CorporationLatency-based scheduling of instructions in a superscalar processor
US6298438B1 (en)*1996-12-022001-10-02Advanced Micro Devices, Inc.System and method for conditional moving an operand from a source register to destination register
US6044478A (en)*1997-05-302000-03-28National Semiconductor CorporationCache with finely granular locked-down regions
US6076159A (en)*1997-09-122000-06-13Siemens AktiengesellschaftExecution of a loop instructing in a loop pipeline after detection of a first occurrence of the loop instruction in an integer pipeline
US6085315A (en)*1997-09-122000-07-04Siemens AktiengesellschaftData processing device with loop pipeline
US6304960B1 (en)*1998-08-062001-10-16Intel CorporationValidating prediction for branches in a cluster via comparison of predicted and condition selected tentative target addresses and validation of branch conditions
US6289442B1 (en)*1998-10-052001-09-11Advanced Micro Devices, Inc.Circuit and method for tagging and invalidating speculatively executed instructions
US6223278B1 (en)*1998-11-052001-04-24Intel CorporationMethod and apparatus for floating point (FP) status word handling in an out-of-order (000) Processor Pipeline
US6308252B1 (en)*1999-02-042001-10-23Kabushiki Kaisha ToshibaProcessor method and apparatus for performing single operand operation and multiple parallel operand operation
US6801997B2 (en)*1999-05-112004-10-05Sun Microsystems, Inc.Multiple-thread processor with single-thread interface shared among threads
US6473837B1 (en)*1999-05-182002-10-29Advanced Micro Devices, Inc.Snoop resynchronization mechanism to preserve read ordering
US7401205B1 (en)*1999-08-132008-07-15Mips Technologies, Inc.High performance RISC instruction set digital signal processor having circular buffer and looping controls
US6546477B1 (en)*1999-09-202003-04-08Texas Instruments IncorporatedMemory management in embedded systems with dynamic object instantiation
US6477639B1 (en)*1999-10-012002-11-05Hitachi, Ltd.Branch instruction mechanism for processor
US6430655B1 (en)*2000-01-312002-08-06Mips Technologies, Inc.Scratchpad RAM memory accessible in parallel to a primary cache
US6557127B1 (en)*2000-02-282003-04-29Cadence Design Systems, Inc.Method and apparatus for testing multi-port memories
US6915395B1 (en)*2000-05-032005-07-05Sun Microsystems, Inc.Active address content addressable memory
US6757817B1 (en)*2000-05-192004-06-29Intel CorporationApparatus having a cache and a loop buffer
US7502876B1 (en)*2000-06-232009-03-10Mips Technologies, Inc.Background memory manager that determines if data structures fits in memory with memory state transactions map
US6505285B1 (en)*2000-06-262003-01-07Ncr CorporationScratch segment subsystem for a parallel processing database system
US7032226B1 (en)*2000-06-302006-04-18Mips Technologies, Inc.Methods and apparatus for managing a buffer of events in the background
US6760835B1 (en)*2000-11-222004-07-06Lsi Logic CorporationInstruction branch mispredict streaming
US7028165B2 (en)*2000-12-062006-04-11Intel CorporationProcessor stalling
US20020174322A1 (en)*2001-05-172002-11-21Kruckemyer David A.Method for cancelling conditional delay slot instructions
US20050102483A1 (en)*2002-10-222005-05-12Kinter Ryan C.Apparatus and method for discovering a scratch pad memory configuration
US6836833B1 (en)*2002-10-222004-12-28Mips Technologies, Inc.Apparatus and method for discovering a scratch pad memory configuration
US20040193858A1 (en)*2003-03-242004-09-30Infineon Technologies North America Corp.Zero-overhead loop operation in microprocessor having instruction buffer
US20060259747A1 (en)*2003-07-292006-11-16Stretch, Inc.Long instruction word processing with instruction extensions
US20060095732A1 (en)*2004-08-302006-05-04Tran Thang MProcesses, circuits, devices, and systems for scoreboard and other processor improvements
US7711934B2 (en)*2005-10-312010-05-04Mips Technologies, Inc.Processor core and method for managing branch misprediction in an out-of-order processor pipeline
US7734901B2 (en)*2005-10-312010-06-08Mips Technologies, Inc.Processor core and method for managing program counter redirection in an out-of-order processor pipeline

Also Published As

Publication numberPublication date
US7734901B2 (en)2010-06-08
US20070101111A1 (en)2007-05-03

Similar Documents

PublicationPublication DateTitle
US7721071B2 (en)System and method for propagating operand availability prediction bits with instructions through a pipeline in an out-of-order processor
US7711929B2 (en)Method and system for tracking instruction dependency in an out-of-order processor
US7685410B2 (en)Redirect recovery cache that receives branch misprediction redirects and caches instructions to be dispatched in response to the redirects
US6079014A (en)Processor that redirects an instruction fetch pipeline immediately upon detection of a mispredicted branch while committing prior instructions to an architectural state
US6721874B1 (en)Method and system for dynamically shared completion table supporting multiple threads in a processing system
US7487340B2 (en)Local and global branch prediction information storage
JP5894120B2 (en) Zero cycle load
US7822951B2 (en)System and method of load-store forwarding
US7415597B2 (en)Processor with dependence mechanism to predict whether a load is dependent on older store
CN108196884B (en) Utilize computer information processor that generates renaming
US20130151816A1 (en)Delay identification in data processing systems
JP2009048633A (en)Processor with branch target address cache and data processing method
US20150309797A1 (en)Computer Processor With Generation Renaming
US7991979B2 (en)Issuing load-dependent instructions in an issue queue in a processing unit of a data processing system
US20070288730A1 (en)Predicated Issue for Conditional Branch Instructions
US7711934B2 (en)Processor core and method for managing branch misprediction in an out-of-order processor pipeline
US20070288732A1 (en)Hybrid Branch Prediction Scheme
US20070288731A1 (en)Dual Path Issue for Conditional Branch Instructions
US20100306513A1 (en)Processor Core and Method for Managing Program Counter Redirection in an Out-of-Order Processor Pipeline
US9841974B2 (en)Renaming with generation numbers
US20070288734A1 (en)Double-Width Instruction Queue for Instruction Execution
WO2007084202A2 (en)Processor core and method for managing branch misprediction in an out-of-order processor pipeline
US20130019085A1 (en)Efficient Recombining for Dual Path Execution
US7065635B1 (en)Method for handling condition code modifiers in an out-of-order multi-issue multi-stranded processor
US6490653B1 (en)Method and system for optimally issuing dependent instructions based on speculative L2 cache hit in a data processing system

Legal Events

DateCodeTitleDescription
ASAssignment

Owner name:MIPS TECHNOLOGIES, INC., CALIFORNIA

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SVENDSEN, KJELD;UKANWA, MARIA;KISHORE, KARAGADA RAMARAO;REEL/FRAME:024830/0198

Effective date:20051031

ASAssignment

Owner name:BRIDGE CROSSING, LLC, NEW JERSEY

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MIPS TECHNOLOGIES, INC.;REEL/FRAME:030202/0440

Effective date:20130206

STCBInformation on status: application discontinuation

Free format text:ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

ASAssignment

Owner name:ARM FINANCE OVERSEAS LIMITED, GREAT BRITAIN

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BRIDGE CROSSING, LLC;REEL/FRAME:033074/0058

Effective date:20140131


[8]ページ先頭

©2009-2025 Movatter.jp