Movatterモバイル変換


[0]ホーム

URL:


US20060043504A1 - Atomic layer deposited titanium aluminum oxide films - Google Patents

Atomic layer deposited titanium aluminum oxide films
Download PDF

Info

Publication number
US20060043504A1
US20060043504A1US10/931,533US93153304AUS2006043504A1US 20060043504 A1US20060043504 A1US 20060043504A1US 93153304 AUS93153304 AUS 93153304AUS 2006043504 A1US2006043504 A1US 2006043504A1
Authority
US
United States
Prior art keywords
layer
forming
titanium
dielectric layer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/931,533
Other versions
US7588988B2 (en
Inventor
Kie Ahn
Leonard Forbes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to MICRON TECHNOLOGY INC.reassignmentMICRON TECHNOLOGY INC.ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: FORBES, LEONARD, AHN, KIE Y.
Priority to US10/931,533priorityCriticalpatent/US7588988B2/en
Application filed by Micron Technology IncfiledCriticalMicron Technology Inc
Priority to TW094129428Aprioritypatent/TWI312542B/en
Priority to PCT/US2005/031159prioritypatent/WO2006026716A1/en
Publication of US20060043504A1publicationCriticalpatent/US20060043504A1/en
Priority to US11/566,042prioritypatent/US8154066B2/en
Publication of US7588988B2publicationCriticalpatent/US7588988B2/en
Application grantedgrantedCritical
Priority to US13/442,140prioritypatent/US8541276B2/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTreassignmentU.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTSECURITY INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENTreassignmentMORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENTPATENT SECURITY AGREEMENTAssignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTreassignmentU.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTCORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST.Assignors: MICRON TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENTreassignmentJPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENTSECURITY INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: MICRON SEMICONDUCTOR PRODUCTS, INC., MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC.reassignmentMICRON TECHNOLOGY, INC.RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS).Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC.reassignmentMICRON TECHNOLOGY, INC.RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS).Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC., MICRON SEMICONDUCTOR PRODUCTS, INC.reassignmentMICRON TECHNOLOGY, INC.RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS).Assignors: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT
Adjusted expirationlegal-statusCritical
Expired - Lifetimelegal-statusCriticalCurrent

Links

Images

Classifications

Definitions

Landscapes

Abstract

A dielectric layer containing an atomic layer deposited insulating metal oxide film having multiple metal components and a method of fabricating such a dielectric layer produce a reliable dielectric layer for use in a variety of electronic devices. Embodiments include conducting a number of annealing processes between a number of atomic layer deposition cycles for forming the metal oxide film. In an embodiment, a titanium aluminum oxide film is formed by depositing titanium and/or aluminum by atomic layer deposition onto a substrate surface. The deposited titanium and/or aluminum is annealed using atomic oxygen. After annealing, a layer of titanium aluminum oxide is formed on the annealed layer to form a contiguous layer of titanium aluminum oxide. Embodiments include structures for capacitors, transistors, memory devices, and electronic systems with dielectric layers containing an atomic layer deposited titanium aluminum oxide film, and methods for forming such structures.

Description

Claims (85)

US10/931,5332004-08-312004-08-31Method of forming apparatus having oxide films formed using atomic layer depositionExpired - LifetimeUS7588988B2 (en)

Priority Applications (5)

Application NumberPriority DateFiling DateTitle
US10/931,533US7588988B2 (en)2004-08-312004-08-31Method of forming apparatus having oxide films formed using atomic layer deposition
TW094129428ATWI312542B (en)2004-08-312005-08-29Atomic layer deposited titanium aluminum oxide films
PCT/US2005/031159WO2006026716A1 (en)2004-08-312005-08-30Atomic layer deposited titanium aluminum oxide films
US11/566,042US8154066B2 (en)2004-08-312006-12-01Titanium aluminum oxide films
US13/442,140US8541276B2 (en)2004-08-312012-04-09Methods of forming an insulating metal oxide

Applications Claiming Priority (1)

Application NumberPriority DateFiling DateTitle
US10/931,533US7588988B2 (en)2004-08-312004-08-31Method of forming apparatus having oxide films formed using atomic layer deposition

Related Child Applications (1)

Application NumberTitlePriority DateFiling Date
US11/566,042DivisionUS8154066B2 (en)2004-08-312006-12-01Titanium aluminum oxide films

Publications (2)

Publication NumberPublication Date
US20060043504A1true US20060043504A1 (en)2006-03-02
US7588988B2 US7588988B2 (en)2009-09-15

Family

ID=35517454

Family Applications (3)

Application NumberTitlePriority DateFiling Date
US10/931,533Expired - LifetimeUS7588988B2 (en)2004-08-312004-08-31Method of forming apparatus having oxide films formed using atomic layer deposition
US11/566,042Expired - LifetimeUS8154066B2 (en)2004-08-312006-12-01Titanium aluminum oxide films
US13/442,140Expired - LifetimeUS8541276B2 (en)2004-08-312012-04-09Methods of forming an insulating metal oxide

Family Applications After (2)

Application NumberTitlePriority DateFiling Date
US11/566,042Expired - LifetimeUS8154066B2 (en)2004-08-312006-12-01Titanium aluminum oxide films
US13/442,140Expired - LifetimeUS8541276B2 (en)2004-08-312012-04-09Methods of forming an insulating metal oxide

Country Status (3)

CountryLink
US (3)US7588988B2 (en)
TW (1)TWI312542B (en)
WO (1)WO2006026716A1 (en)

Cited By (67)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US20030228747A1 (en)*2002-06-052003-12-11Micron Technology, Inc.Pr2O3-based la-oxide gate dielectrics
US20040164365A1 (en)*2002-08-152004-08-26Micron Technology, Inc.Lanthanide doped TiOx dielectric films
US20050023625A1 (en)*2002-08-282005-02-03Micron Technology, Inc.Atomic layer deposited HfSiON dielectric films
US20050032292A1 (en)*2001-08-302005-02-10Micron Technology, Inc.Crystalline or amorphous medium-K gate oxides, Y2O3 and Gd2O3
US20050034662A1 (en)*2001-03-012005-02-17Micro Technology, Inc.Methods, systems, and apparatus for uniform chemical-vapor depositions
US20050054165A1 (en)*2003-03-312005-03-10Micron Technology, Inc.Atomic layer deposited ZrAlxOy dielectric layers
US20050124174A1 (en)*2002-08-152005-06-09Micron Technology, Inc.Lanthanide doped TiOx dielectric films by plasma oxidation
US20060024975A1 (en)*2004-08-022006-02-02Micron Technology, Inc.Atomic layer deposition of zirconium-doped tantalum oxide films
US20060094191A1 (en)*2004-10-282006-05-04Choi Han-MeiMethods of manufacturing a semiconductor device including a dielectric layer including zirconium
US20060151822A1 (en)*2005-01-072006-07-13Shrinivas GovindarajanDRAM with high K dielectric storage capacitor and method of making the same
US20060151845A1 (en)*2005-01-072006-07-13Shrinivas GovindarajanMethod to control interfacial properties for capacitors using a metal flash layer
US20060177975A1 (en)*2005-02-102006-08-10Micron Technology, Inc.Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US20060228868A1 (en)*2005-03-292006-10-12Micron Technology, Inc.ALD of amorphous lanthanide doped TiOx films
US7135421B2 (en)2002-06-052006-11-14Micron Technology, Inc.Atomic layer-deposited hafnium aluminum oxide
US20060263972A1 (en)*2005-02-152006-11-23Micron Technology, Inc.ATOMIC LAYER DEPOSITION OF Zr3N4/ZrO2 FILMS AS GATE DIELECTRICS
US20060281330A1 (en)*2005-06-142006-12-14Micron Technology, Inc.Iridium / zirconium oxide structure
US7160577B2 (en)2002-05-022007-01-09Micron Technology, Inc.Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20070040195A1 (en)*2005-08-192007-02-22The University Of ChicagoMonolithic integrated passive and active electronic devices with biocompatible coatings
US20070092989A1 (en)*2005-08-042007-04-26Micron Technology, Inc.Conductive nanoparticles
US7235501B2 (en)2004-12-132007-06-26Micron Technology, Inc.Lanthanum hafnium oxide dielectrics
US7259434B2 (en)2001-08-302007-08-21Micron Technology, Inc.Highly reliable amorphous high-k gate oxide ZrO2
US20070234949A1 (en)*2006-04-072007-10-11Micron Technology, Inc.Atomic layer deposited titanium-doped indium oxide films
US7312494B2 (en)2003-06-242007-12-25Micron Technology, Inc.Lanthanide oxide / hafnium oxide dielectric layers
US20080032465A1 (en)*2006-08-032008-02-07Micron Technology, Inc.Deposition of ZrAION films
US20080057659A1 (en)*2006-08-312008-03-06Micron Technology, Inc.Hafnium aluminium oxynitride high-K dielectric and metal gates
US20080057690A1 (en)*2006-08-312008-03-06Micron Technology, Inc.Tantalum silicon oxynitride high-k dielectrics and metal gates
US20080054330A1 (en)*2006-08-312008-03-06Micron Technology, Inc.Tantalum lanthanide oxynitride films
US20080087945A1 (en)*2006-08-312008-04-17Micron Technology, Inc.Silicon lanthanide oxynitride films
US20080096363A1 (en)*2005-01-072008-04-24Shrinivas GovindarajanHigh Dielectric Constant Materials
US20080124908A1 (en)*2006-08-312008-05-29Micron Technology, Inc.Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080121962A1 (en)*2006-08-312008-05-29Micron Technology, Inc.Tantalum aluminum oxynitride high-k dielectric and metal gates
US7390756B2 (en)2005-04-282008-06-24Micron Technology, Inc.Atomic layer deposited zirconium silicon oxide films
US20080166867A1 (en)*2003-03-252008-07-10Rohm Co., Ltd.Semiconductor device, method of manufacturing the same, and method of manufacturing metal compound thin film
US7402876B2 (en)2002-12-042008-07-22Micron Technology, Inc.Zr— Sn—Ti—O films
US7405454B2 (en)2003-03-042008-07-29Micron Technology, Inc.Electronic apparatus with deposited dielectric layers
US7410910B2 (en)2005-08-312008-08-12Micron Technology, Inc.Lanthanum aluminum oxynitride dielectric films
US20080224240A1 (en)*2005-08-292008-09-18Micron Technology, Inc.ATOMIC LAYER DEPOSITION OF Zrx Hfy Sn1-x-y O2 FILMS AS HIGH k GATE DIELECTRICS
US7446368B2 (en)2001-08-302008-11-04Micron Technology, Inc.Deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators
US20080296650A1 (en)*2007-06-042008-12-04Micron Technology, Inc.High-k dielectrics with gold nano-particles
US7494939B2 (en)2004-08-312009-02-24Micron Technology, Inc.Methods for forming a lanthanum-metal oxide dielectric layer
US20090173991A1 (en)*2005-08-042009-07-09Marsh Eugene PMethods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7560793B2 (en)2002-05-022009-07-14Micron Technology, Inc.Atomic layer deposition and conversion
US7560395B2 (en)2005-01-052009-07-14Micron Technology, Inc.Atomic layer deposited hafnium tantalum oxide dielectrics
US7563730B2 (en)2006-08-312009-07-21Micron Technology, Inc.Hafnium lanthanide oxynitride films
US7572695B2 (en)2005-05-272009-08-11Micron Technology, Inc.Hafnium titanium oxide films
US7611959B2 (en)2002-12-042009-11-03Micron Technology, Inc.Zr-Sn-Ti-O films
US7662729B2 (en)2005-04-282010-02-16Micron Technology, Inc.Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7687409B2 (en)2005-03-292010-03-30Micron Technology, Inc.Atomic layer deposited titanium silicon oxide films
US7709402B2 (en)2006-02-162010-05-04Micron Technology, Inc.Conductive layers for hafnium silicon oxynitride films
US7719065B2 (en)2004-08-262010-05-18Micron Technology, Inc.Ruthenium layer for a dielectric layer containing a lanthanide oxide
US7869242B2 (en)1999-07-302011-01-11Micron Technology, Inc.Transmission lines for CMOS integrated circuits
US20110048769A1 (en)*2009-09-012011-03-03Elpida Memory, Inc.Insulating film, method of manufacturing the same, and semiconductor device
US7927948B2 (en)2005-07-202011-04-19Micron Technology, Inc.Devices with nanocrystals and methods of formation
US7972974B2 (en)2006-01-102011-07-05Micron Technology, Inc.Gallium lanthanide oxide films
US8110469B2 (en)2005-08-302012-02-07Micron Technology, Inc.Graded dielectric layers
US20140327062A1 (en)*2013-05-032014-11-06Ki-yeon ParkElectronic devices including oxide dielectric and interface layers
US20150315705A1 (en)*2014-05-012015-11-05Tokyo Electron LimitedFilm forming method and film forming apparatus
US20160060758A1 (en)*2014-08-292016-03-03University Of Maryland, College ParkProtective coated object and method of coating an object
CN105390369A (en)*2014-08-202016-03-09朗姆研究公司 A Method for Tuning TiOx Stoichiometry Using Atomic Layer Deposited Ti Films
US9290840B2 (en)2009-11-062016-03-22Beneq OyMethod for forming an electrically conductive oxide film, an electrically conductive oxide film, and uses for the same
US10192742B2 (en)2013-11-072019-01-29Novellus Systems, Inc.Soft landing nanolaminates for advanced patterning
US20190067440A1 (en)*2017-08-292019-02-28Indian Institute Of ScienceEnhancement mode high electron mobility transistor (hemt)
CN112969816A (en)*2018-10-042021-06-15弗萨姆材料美国有限责任公司Compositions for high temperature atomic layer deposition of high quality silicon oxide films
CN113013250A (en)*2021-02-242021-06-22北京大学Field effect transistor and preparation method thereof
US11081577B2 (en)*2014-10-172021-08-03Industry-University Cooperation Foundation Hanyang University Erica CampusElectronic device including two-dimensional electron gas and method of fabricating the same
US12108677B2 (en)2020-09-082024-10-01Industry-University Cooperation Foundation Hanyang University Erica CampusThermoelectric composite, preparation method therefor, and thermoelectric device and semiconductor device each comprising thermoelectric composite
WO2025052363A1 (en)*2023-09-062025-03-13Indian Institute Of ScienceMethod for high threshold voltage and high breakdown gate stack in p-gan gate e-mode hemts

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US8043089B2 (en)1999-04-232011-10-253M Innovative Properties CompanyOne piece dental implant and use thereof in prostodontic and orthodontic applications
US7084078B2 (en)2002-08-292006-08-01Micron Technology, Inc.Atomic layer deposited lanthanide doped TiOx dielectric films
US7588988B2 (en)*2004-08-312009-09-15Micron Technology, Inc.Method of forming apparatus having oxide films formed using atomic layer deposition
US7582549B2 (en)2006-08-252009-09-01Micron Technology, Inc.Atomic layer deposited barium strontium titanium oxide films
US9159551B2 (en)*2009-07-022015-10-13Micron Technology, Inc.Methods of forming capacitors
US8637123B2 (en)*2009-12-292014-01-28Lotus Applied Technology, LlcOxygen radical generation for radical-enhanced thin film deposition
US8288811B2 (en)2010-03-222012-10-16Micron Technology, Inc.Fortification of charge-storing material in high-K dielectric environments and resulting apparatuses
US8735240B2 (en)*2012-04-252014-05-27Globalfoundries Inc.CET and gate current leakage reduction in high-k metal gate electrode structures by heat treatment after diffusion layer removal
US8963228B2 (en)*2013-04-182015-02-24International Business Machines CorporationNon-volatile memory device integrated with CMOS SOI FET on a single chip

Citations (86)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US5714336A (en)*1986-08-051998-02-03Hoechst AktiengesellschaftProcess and test kit for determining free active compounds in biological fluids
US5879459A (en)*1997-08-291999-03-09Genus, Inc.Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6010969A (en)*1996-10-022000-01-04Micron Technology, Inc.Method of depositing films on semiconductor devices by using carboxylate complexes
US6020243A (en)*1997-07-242000-02-01Texas Instruments IncorporatedZirconium and/or hafnium silicon-oxynitride gate dielectric
US6020024A (en)*1997-08-042000-02-01Motorola, Inc.Method for forming high dielectric constant metal oxides
US6025627A (en)*1998-05-292000-02-15Micron Technology, Inc.Alternate method and structure for improved floating gate tunneling devices
US6027961A (en)*1998-06-302000-02-22Motorola, Inc.CMOS semiconductor devices and method of formation
US6184612B1 (en)*1997-08-082001-02-06Pioneer Electronic CorporationElectron emission device with electron supply layer of hydrogenated amorphous silicon
US6187484B1 (en)*1999-08-312001-02-13Micron Technology, Inc.Irradiation mask
US6200893B1 (en)*1999-03-112001-03-13Genus, IncRadical-assisted sequential CVD
US6203613B1 (en)*1999-10-192001-03-20International Business Machines CorporationAtomic layer deposition with nitrate containing precursors
US6207589B1 (en)*1999-07-192001-03-27Sharp Laboratories Of America, Inc.Method of forming a doped metal oxide dielectric film
US20020001971A1 (en)*2000-06-272002-01-03Hag-Ju ChoMethods of manufacturing integrated circuit devices that include a metal oxide layer disposed on another layer to protect the other layer from diffusion of impurities and integrated circuit devices manufactured using same
US20020004277A1 (en)*2000-02-282002-01-10Micron Technology, Inc.Structure and method for dual gate oxide thicknesses
US20020003403A1 (en)*2000-04-252002-01-10Ghosh Amalkumar P.Thin film encapsulation of organic light emitting diode devices
US20020024108A1 (en)*2000-06-262002-02-28Gerald LucovskyNovel non-crystalline oxides for use in microelectronic, optical, and other applications
US20020025628A1 (en)*2000-08-312002-02-28Derderian Garo J.Capacitor fabrication methods and capacitor constructions
US20020024080A1 (en)*2000-08-312002-02-28Derderian Garo J.Capacitor fabrication methods and capacitor constructions
US20020028541A1 (en)*2000-08-142002-03-07Lee Thomas H.Dense arrays and charge storage devices, and methods for making same
US6355561B1 (en)*2000-11-212002-03-12Micron Technology, Inc.ALD method to improve surface coverage
US20030003635A1 (en)*2001-05-232003-01-02Paranjpe Ajit P.Atomic layer deposition for fabricating thin films
US20030003730A1 (en)*2001-02-132003-01-02Micron Technology, Inc.Sequential pulse deposition
US20030003702A1 (en)*2001-02-092003-01-02Micron Technology, Inc.Formation of metal oxide gate dielectric
US20030001241A1 (en)*2000-01-182003-01-02Agere Systems Guardian Corp.Semiconductor device and method of fabrication
US20030003722A1 (en)*1998-09-012003-01-02Micron Technology, Inc.Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands
US6504214B1 (en)*2002-01-112003-01-07Advanced Micro Devices, Inc.MOSFET device having high-K dielectric layer
US20030008243A1 (en)*2001-07-092003-01-09Micron Technology, Inc.Copper electroless deposition technology for ULSI metalization
US6509280B2 (en)*2001-02-222003-01-21Samsung Electronics Co., Ltd.Method for forming a dielectric layer of a semiconductor device
US20030017717A1 (en)*2001-07-182003-01-23Ahn Kie Y.Methods for forming dielectric materials and methods for forming semiconductor devices
US20030020169A1 (en)*2001-07-242003-01-30Ahn Kie Y.Copper technology for ULSI metallization
US6514828B2 (en)*2001-04-202003-02-04Micron Technology, Inc.Method of fabricating a highly reliable gate oxide
US6521911B2 (en)*2000-07-202003-02-18North Carolina State UniversityHigh dielectric constant metal silicates formed by controlled metal-surface reactions
US20030040196A1 (en)*2001-08-272003-02-27Lim Jung WookMethod of forming insulation layer in semiconductor devices for controlling the composition and the doping concentration
US20030042526A1 (en)*2001-08-292003-03-06Micron Technology, Inc.Method of improved high K dielectric-polysilicon interface for CMOS devices
US6673701B1 (en)*2002-08-272004-01-06Micron Technology, Inc.Atomic layer deposition methods
US20040004245A1 (en)*2002-07-082004-01-08Micron Technology, Inc.Memory utilizing oxide-conductor nanolaminates
US20040004859A1 (en)*2002-07-082004-01-08Micron Technology, Inc.Memory utilizing oxide nanolaminates
US20040004247A1 (en)*2002-07-082004-01-08Micron Technology, Inc.Memory utilizing oxide-nitride nanolaminates
US20040004244A1 (en)*2001-03-152004-01-08Micron Technology, Inc.Structures, methods, and systems for ferroelectric memory transistors
US6677250B2 (en)*2001-08-172004-01-13Micron Technology, Inc.CVD apparatuses and methods of forming a layer over a semiconductor substrate
US20040007171A1 (en)*1999-10-142004-01-15Mikko RitalaMethod for growing thin oxide films
US20040009679A1 (en)*2001-01-192004-01-15Yeo Jae-HyunMethod of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US20040009678A1 (en)*2002-02-282004-01-15Hitachi Kokusai Electric Inc.Method for manufacturing semiconductor device
US20040013009A1 (en)*2002-04-042004-01-22Kabushiki Kaisha ToshibaSemiconductor memory device having a gate electrode and a method of manufacturing thereof
US6683005B2 (en)*2001-08-302004-01-27Micron Technology, Inc.Method of forming capacitor constructions
US6686212B1 (en)*2002-10-312004-02-03Sharp Laboratories Of America, Inc.Method to deposit a stacked high-κ gate dielectric for CMOS applications
US20040023461A1 (en)*2002-07-302004-02-05Micron Technology, Inc.Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040033701A1 (en)*2002-08-152004-02-19Micron Technology, Inc.Lanthanide doped tiox dielectric films
US20040033661A1 (en)*2002-08-162004-02-19Yeo Jae-HyunSemiconductor device and method for manufacturing the same
US20040033681A1 (en)*2002-08-152004-02-19Micron Technology, Inc.Lanthanide doped TiOx dielectric films by plasma oxidation
US6696332B2 (en)*2001-12-262004-02-24Texas Instruments IncorporatedBilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US20040036129A1 (en)*2002-08-222004-02-26Micron Technology, Inc.Atomic layer deposition of CMOS gates with variable work functions
US20040038525A1 (en)*2002-08-262004-02-26Shuang MengEnhanced atomic layer deposition
US20040038554A1 (en)*2002-08-212004-02-26Ahn Kie Y.Composite dielectric forming methods and composite dielectrics
US6838404B2 (en)*2002-01-092005-01-04Board Of Trustees Of University Of IllinoisMetal alkoxides and methods of making same
US6844604B2 (en)*2001-02-022005-01-18Samsung Electronics Co., Ltd.Dielectric layer for semiconductor device and method of manufacturing the same
US6844260B2 (en)*2003-01-302005-01-18Micron Technology, Inc.Insitu post atomic layer deposition destruction of active species
US6844203B2 (en)*2001-08-302005-01-18Micron Technology, Inc.Gate oxides, and methods of forming
US6844256B2 (en)*2002-03-132005-01-18Micron Technology, Inc.High permeability composite films to reduce noise in high speed interconnects
US20050020017A1 (en)*2003-06-242005-01-27Micron Technology, Inc.Lanthanide oxide / hafnium oxide dielectric layers
US20050023626A1 (en)*2003-06-242005-02-03Micron Technology, Inc.Lanthanide oxide / hafnium oxide dielectrics
US20050023603A1 (en)*2001-08-302005-02-03Micron Technology, Inc.Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators
US20050023625A1 (en)*2002-08-282005-02-03Micron Technology, Inc.Atomic layer deposited HfSiON dielectric films
US20050023602A1 (en)*2001-08-302005-02-03Micron Technology, Inc.Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US20050026349A1 (en)*2001-08-302005-02-03Micron Technology, Inc.Flash memory with low tunnel barrier interpoly insulators
US20050023594A1 (en)*2002-06-052005-02-03Micron Technology, Inc.Pr2O3-based la-oxide gate dielectrics
US20050023595A1 (en)*2001-08-302005-02-03Micron Technology, Inc.Programmable array logic or memory devices with asymmetrical tunnel barriers
US20050023624A1 (en)*2002-06-052005-02-03Micron Technology, Inc.Atomic layer-deposited HfAlO3 films for gate dielectrics
US6852645B2 (en)*2003-02-132005-02-08Texas Instruments IncorporatedHigh temperature interface layer growth for high-k gate dielectric
US20050029604A1 (en)*2002-12-042005-02-10Micron Technology, Inc.Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20050029605A1 (en)*2001-08-302005-02-10Micron Technology, Inc.Highly reliable amorphous high-k gate oxide ZrO2
US20050037563A1 (en)*2001-06-132005-02-17Ahn Kie Y.Capacitor structures
US20050034662A1 (en)*2001-03-012005-02-17Micro Technology, Inc.Methods, systems, and apparatus for uniform chemical-vapor depositions
US6858865B2 (en)*2001-02-232005-02-22Micron Technology, Inc.Doped aluminum oxide dielectrics
US20060000412A1 (en)*2002-05-022006-01-05Micron Technology, Inc.Systems and apparatus for atomic-layer deposition
US20060001151A1 (en)*2003-03-042006-01-05Micron Technology, Inc.Atomic layer deposited dielectric layers
US6989573B2 (en)*2003-10-102006-01-24Micron Technology, Inc.Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US6989565B1 (en)*2002-04-152006-01-24Lsi Logic CorporationMemory device having an electron trapping layer in a high-K dielectric gate stack
US20060022252A1 (en)*2004-07-302006-02-02Samsung Electronics Co., Ltd.Nonvolatile memory device and method of fabricating the same
US20060024975A1 (en)*2004-08-022006-02-02Micron Technology, Inc.Atomic layer deposition of zirconium-doped tantalum oxide films
US7160817B2 (en)*2001-08-302007-01-09Micron Technology, Inc.Dielectric material forming methods
US7166886B2 (en)*2001-08-302007-01-23Micron Technology, Inc.DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US20080014689A1 (en)*2006-07-072008-01-17Texas Instruments IncorporatedMethod for making planar nanowire surround gate mosfet
US20080042211A1 (en)*2006-08-182008-02-21Micron Technology, Inc.Strained semiconductor channels and methods of formation
US20090032910A1 (en)*2004-12-132009-02-05Micron Technology, Inc.Dielectric stack containing lanthanum and hafnium
US7494939B2 (en)*2004-08-312009-02-24Micron Technology, Inc.Methods for forming a lanthanum-metal oxide dielectric layer

Family Cites Families (390)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
FI117944B (en)1999-10-152007-04-30Asm Int Process for making transition metal nitride thin films
FI118158B (en)1999-10-152007-07-31Asm Int Process for modifying the starting chemical in an ALD process
SE393967B (en)1974-11-291977-05-31Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en)1979-02-281980-11-10Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4641313A (en)1984-06-151987-02-03Monash UniversityRoom temperature metal vapour laser
US5070385A (en)1989-10-201991-12-03Radiant TechnologiesFerroelectric non-volatile variable resistive element
US6110529A (en)1990-07-062000-08-29Advanced Tech MaterialsMethod of forming metal films on a substrate by chemical vapor deposition
US5840897A (en)1990-07-061998-11-24Advanced Technology Materials, Inc.Metal complex source reagents for chemical vapor deposition
US5192871A (en)1991-10-151993-03-09Motorola, Inc.Voltage variable capacitor having amorphous dielectric film
EP0540993A1 (en)1991-11-061993-05-12Ramtron International CorporationStructure and fabrication of high transconductance MOS field effect transistor using a buffer layer/ferroelectric/buffer layer stack as the gate dielectric
US5223001A (en)1991-11-211993-06-29Tokyo Electron Kabushiki KaishaVacuum processing apparatus
US5304622A (en)1992-01-081994-04-19Nippon Oil Company, Ltd.Process for producing polysilanes
JPH06175506A (en)1992-12-071994-06-24Minolta Camera Co LtdImage forming device
JPH06204494A (en)1993-01-071994-07-22Fujitsu LtdFormation of insulating film and manufacture of semiconductor element
JP3328389B2 (en)1993-09-142002-09-24康夫 垂井 Manufacturing method of ferroelectric thin film
US5625233A (en)1995-01-131997-04-29Ibm CorporationThin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
US5749937A (en)1995-03-141998-05-12Lockheed Idaho Technologies CompanyFast quench reactor and method
US5783716A (en)1996-06-281998-07-21Advanced Technology Materials, Inc.Platinum source compositions for chemical vapor deposition of platinum
US5714766A (en)1995-09-291998-02-03International Business Machines CorporationNano-structure memory device
US6224690B1 (en)1995-12-222001-05-01International Business Machines CorporationFlip-Chip interconnections using lead-free solders
US6313035B1 (en)1996-05-312001-11-06Micron Technology, Inc.Chemical vapor deposition using organometallic precursors
JPH104149A (en)1996-06-141998-01-06Oki Electric Ind Co LtdSemiconductor memory and its manufacture
US6342277B1 (en)1996-08-162002-01-29Licensee For Microelectronics: Asm America, Inc.Sequential chemical vapor deposition
US6020247A (en)1996-08-052000-02-01Texas Instruments IncorporatedMethod for thin film deposition on single-crystal semiconductor substrates
US5916365A (en)1996-08-161999-06-29Sherman; ArthurSequential chemical vapor deposition
US5923056A (en)1996-10-101999-07-13Lucent Technologies Inc.Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6174377B1 (en)1997-03-032001-01-16Genus, Inc.Processing chamber for atomic layer deposition processes
US6075691A (en)1997-03-062000-06-13Lucent Technologies Inc.Thin film capacitors and process for making them
US6034015A (en)1997-05-142000-03-07Georgia Tech Research CorporationCeramic compositions for microwave wireless communication
US6110544A (en)1997-06-262000-08-29General Electric CompanyProtective coating by high rate arc plasma deposition
US7393561B2 (en)*1997-08-112008-07-01Applied Materials, Inc.Method and apparatus for layer by layer deposition of thin films
US6440933B1 (en)1997-09-102002-08-27University Of FloridaCompounds and method for the prevention and treatment of diabetic retinopathy
US5912797A (en)1997-09-241999-06-15Lucent Technologies Inc.Dielectric materials of amorphous compositions and devices employing same
JP3495889B2 (en)1997-10-032004-02-09シャープ株式会社 Semiconductor storage element
US6333556B1 (en)1997-10-092001-12-25Micron Technology, Inc.Insulating materials
US6858526B2 (en)1998-07-142005-02-22Micron Technology, Inc.Methods of forming materials between conductive electrical components, and insulating materials
US6350704B1 (en)1997-10-142002-02-26Micron Technology Inc.Porous silicon oxycarbide integrated circuit insulator
US6861356B2 (en)1997-11-052005-03-01Tokyo Electron LimitedMethod of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100268936B1 (en)1997-12-162000-10-16김영환A method of forming for quantum dot of semiconductor device
KR100269328B1 (en)1997-12-312000-10-16윤종용Method for forming conductive layer using atomic layer deposition process
US6150724A (en)1998-03-022000-11-21Motorola, Inc.Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces
US6093944A (en)1998-06-042000-07-25Lucent Technologies Inc.Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
US6225168B1 (en)1998-06-042001-05-01Advanced Micro Devices, Inc.Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6093623A (en)1998-08-042000-07-25Micron Technology, Inc.Methods for making silicon-on-insulator structures
US6125062A (en)1998-08-262000-09-26Micron Technology, Inc.Single electron MOSFET memory device and method
US6063705A (en)1998-08-272000-05-16Micron Technology, Inc.Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6141260A (en)1998-08-272000-10-31Micron Technology, Inc.Single electron resistor memory device and method for use thereof
US6284655B1 (en)1998-09-032001-09-04Micron Technology, Inc.Method for producing low carbon/oxygen conductive layers
US6218293B1 (en)1998-11-132001-04-17Micron Technology, Inc.Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6433993B1 (en)1998-11-232002-08-13Microcoating Technologies, Inc.Formation of thin film capacitors
US6207522B1 (en)1998-11-232001-03-27Microcoating TechnologiesFormation of thin film capacitors
US6270835B1 (en)1999-10-072001-08-07Microcoating Technologies, Inc.Formation of this film capacitors
US6210999B1 (en)1998-12-042001-04-03Advanced Micro Devices, Inc.Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
JP2000208508A (en)1999-01-132000-07-28Texas Instr Inc <Ti> Vacuum deposition of silicate high dielectric constant materials
US6291341B1 (en)1999-02-122001-09-18Micron Technology, Inc.Method for PECVD deposition of selected material films
US6383861B1 (en)1999-02-182002-05-07Micron Technology, Inc.Method of fabricating a dual gate dielectric
KR100319884B1 (en)1999-04-122002-01-10윤종용Capacitor of semiconductor device and method for fabricating the same
US6144552A (en)1999-04-262000-11-07Emc CorporationHandheld computer system
US6713329B1 (en)1999-05-102004-03-30The Trustees Of Princeton UniversityInverter made of complementary p and n channel transistors using a single directly-deposited microcrystalline silicon film
US6495878B1 (en)1999-08-022002-12-17Symetrix CorporationInterlayer oxide containing thin films for high dielectric constant application
US6273951B1 (en)1999-06-162001-08-14Micron Technology, Inc.Precursor mixtures for use in preparing layers on substrates
US6812157B1 (en)1999-06-242004-11-02Prasad Narhar GadgilApparatus for atomic layer chemical vapor deposition
US6385739B1 (en)1999-07-192002-05-07Tivo Inc.Self-test electronic assembly and test system
US6297539B1 (en)1999-07-192001-10-02Sharp Laboratories Of America, Inc.Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6709968B1 (en)2000-08-162004-03-23Micron Technology, Inc.Microelectronic device with package with conductive elements and associated method of manufacture
US6670719B2 (en)1999-08-252003-12-30Micron Technology, Inc.Microelectronic device package filled with liquid or pressurized gas and associated method of manufacture
US6498362B1 (en)1999-08-262002-12-24Micron Technology, Inc.Weak ferroelectric transistor
US6337237B1 (en)1999-09-012002-01-08Micron Technology, Inc.Capacitor processing method and DRAM processing method
US6398199B1 (en)1999-09-032002-06-04Barber Manufacturing Company, Inc.Coil spring assembly
US6727169B1 (en)1999-10-152004-04-27Asm International, N.V.Method of making conformal lining layers for damascene metallization
KR100304714B1 (en)1999-10-202001-11-02윤종용Method for fabricating metal layer of semiconductor device using metal-halide gas
SG99871A1 (en)1999-10-252003-11-27Motorola IncMethod for fabricating a semiconductor structure including a metal oxide interface with silicon
US6541079B1 (en)1999-10-252003-04-01International Business Machines CorporationEngineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique
JP4397491B2 (en)1999-11-302010-01-13財団法人国際科学振興財団 Semiconductor device using silicon having 111 plane orientation on surface and method of forming the same
US6780704B1 (en)1999-12-032004-08-24Asm International NvConformal thin films over textured capacitor electrodes
US6503330B1 (en)1999-12-222003-01-07Genus, Inc.Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100313091B1 (en)1999-12-292001-11-07박종섭Method of forming gate dielectric layer with TaON
KR100351450B1 (en)1999-12-302002-09-09주식회사 하이닉스반도체Non-volatile memory device and method for fabricating the same
US6372618B2 (en)2000-01-062002-04-16Micron Technology, Inc.Methods of forming semiconductor structures
WO2001050510A2 (en)2000-01-062001-07-12Applied Materials, Inc.Low thermal budget metal oxide deposition for capacitor structures
US6417537B1 (en)2000-01-182002-07-09Micron Technology, Inc.Metal oxynitride capacitor barrier layer
FI20000099A0 (en)2000-01-182000-01-18Asm Microchemistry Ltd A method for growing thin metal films
WO2001054200A1 (en)2000-01-192001-07-26North Carolina State UniversityLanthanum oxide-based gate dielectrics for integrated circuit field effect transistors and methods of fabricating same
US6404027B1 (en)2000-02-072002-06-11Agere Systems Guardian Corp.High dielectric constant gate oxides for silicon-based devices
US6527866B1 (en)2000-02-092003-03-04Conductus, Inc.Apparatus and method for deposition of thin films
US6392257B1 (en)2000-02-102002-05-21Motorola Inc.Semiconductor structure, semiconductor device, communicating device, integrated circuit, and process for fabricating the same
US6407435B1 (en)2000-02-112002-06-18Sharp Laboratories Of America, Inc.Multilayer dielectric stack and method
US6319766B1 (en)2000-02-222001-11-20Applied Materials, Inc.Method of tantalum nitride deposition by tantalum oxide densification
DE10010821A1 (en)2000-02-292001-09-13Infineon Technologies Ag Method for increasing the capacitance in a storage trench and trench capacitor with increased capacitance
JP5016767B2 (en)2000-03-072012-09-05エーエスエム インターナショナル エヌ.ヴェー. Method for forming gradient thin film
US6380080B2 (en)2000-03-082002-04-30Micron Technology, Inc.Methods for preparing ruthenium metal films
US6500499B1 (en)2000-03-102002-12-31Air Products And Chemicals, Inc.Deposition and annealing of multicomponent ZrSnTi and HfSnTi oxide thin films using solventless liquid mixture of precursors
JP2001257344A (en)2000-03-102001-09-21Toshiba Corp Semiconductor device and method of manufacturing semiconductor device
US6537613B1 (en)2000-04-102003-03-25Air Products And Chemicals, Inc.Process for metal metalloid oxides and nitrides with compositional gradients
FI117979B (en)2000-04-142007-05-15Asm Int Process for making oxide thin films
US20010052752A1 (en)2000-04-252001-12-20Ghosh Amalkumar P.Thin film encapsulation of organic light emitting diode devices
US20020195056A1 (en)2000-05-122002-12-26Gurtej SandhuVersatile atomic layer deposition apparatus
JP5173101B2 (en)2000-05-152013-03-27エイエスエム インターナショナル エヌ.ヴェー. Integrated circuit manufacturing method
US6573160B2 (en)2000-05-262003-06-03Motorola, Inc.Method of recrystallizing an amorphous region of a semiconductor
US6444512B1 (en)2000-06-122002-09-03Motorola, Inc.Dual metal gate transistors for CMOS process
US6313486B1 (en)2000-06-152001-11-06Board Of Regents, The University Of Texas SystemFloating gate transistor having buried strained silicon germanium channel layer
US6444592B1 (en)2000-06-202002-09-03International Business Machines CorporationInterfacial oxidation process for high-k gate dielectric process integration
US6551929B1 (en)2000-06-282003-04-22Applied Materials, Inc.Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6592942B1 (en)2000-07-072003-07-15Asm International N.V.Method for vapour deposition of a film onto a substrate
DE10034003A1 (en)2000-07-072002-01-24Infineon Technologies Ag Trench capacitor with insulation collar and corresponding manufacturing process
US6458416B1 (en)2000-07-192002-10-01Micron Technology, Inc.Deposition methods
EP1308992A4 (en)*2000-08-112006-01-18Tokyo Electron LtdDevice and method for processing substrate
US7094690B1 (en)2000-08-312006-08-22Micron Technology, Inc.Deposition methods and apparatuses providing surface activation
US7112503B1 (en)2000-08-312006-09-26Micron Technology, Inc.Enhanced surface area capacitor fabrication methods
US6541353B1 (en)2000-08-312003-04-01Micron Technology, Inc.Atomic layer doping apparatus and method
US20020072164A1 (en)2000-09-132002-06-13Applied Materials, Inc.Processing chamber with multi-layer brazed lid
TW448318B (en)2000-09-182001-08-01Nat Science CouncilErbium, Yttrium co-doped Titanium oxide thin film material for planar optical waveguide amplifier
US6300203B1 (en)2000-10-052001-10-09Advanced Micro Devices, Inc.Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6465334B1 (en)2000-10-052002-10-15Advanced Micro Devices, Inc.Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6660660B2 (en)2000-10-102003-12-09Asm International, Nv.Methods for making a dielectric stack in an integrated circuit
US6395650B1 (en)2000-10-232002-05-28International Business Machines CorporationMethods for forming metal oxide layers with enhanced purity
US20020083464A1 (en)2000-11-072002-06-27Mai-Ian TomsenSystem and method for unprompted, context-sensitive querying during a televison broadcast
US6534357B1 (en)2000-11-092003-03-18Micron Technology, Inc.Methods for forming conductive structures and structures regarding same
US6613695B2 (en)2000-11-242003-09-02Asm America, Inc.Surface preparation prior to deposition
KR100385947B1 (en)2000-12-062003-06-02삼성전자주식회사Method of forming thin film by atomic layer deposition
KR20020056260A (en)2000-12-292002-07-10박종섭Method for forming metal gate of semiconductor devoie
US7112543B2 (en)2001-01-042006-09-26Micron Technology, Inc.Methods of forming assemblies comprising silicon-doped aluminum oxide
US20020089023A1 (en)2001-01-052002-07-11Motorola, Inc.Low leakage current metal oxide-nitrides and method of fabricating same
US20020089063A1 (en)2001-01-082002-07-11Ahn Kie Y.Copper dual damascene interconnect technology
US6346477B1 (en)2001-01-092002-02-12Research Foundation Of Suny - New YorkMethod of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
KR100385952B1 (en)2001-01-192003-06-02삼성전자주식회사A semiconductor capacitor having tantalum oxide as dielctric film and formation method thereof
US6713846B1 (en)2001-01-262004-03-30Aviza Technology, Inc.Multilayer high κ dielectric films
US7371633B2 (en)2001-02-022008-05-13Samsung Electronics Co., Ltd.Dielectric layer for semiconductor device and method of manufacturing the same
US6566147B2 (en)2001-02-022003-05-20Micron Technology, Inc.Method for controlling deposition of dielectric films
US6528374B2 (en)2001-02-052003-03-04International Business Machines CorporationMethod for forming dielectric stack without interfacial layer
US6566682B2 (en)2001-02-092003-05-20Micron Technology, Inc.Programmable memory address and decode circuits with ultra thin vertical body transistors
JP3732098B2 (en)2001-02-192006-01-05株式会社ルネサステクノロジ Semiconductor device
JP5016164B2 (en)2001-02-222012-09-05シャープ株式会社 MEMORY FILM, MANUFACTURING METHOD THEREOF, MEMORY ELEMENT, SEMICONDUCTOR MEMORY DEVICE, SEMICONDUCTOR INTEGRATED CIRCUIT, AND PORTABLE ELECTRONIC DEVICE
US6706608B2 (en)*2001-02-282004-03-16Micron Technology, Inc.Memory cell capacitors having an over/under configuration
US20040012698A1 (en)2001-03-052004-01-22Yasuo SudaImage pickup model and image pickup device
FI109770B (en)2001-03-162002-10-15Asm Microchemistry OyGrowing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US6770923B2 (en)2001-03-202004-08-03Freescale Semiconductor, Inc.High K dielectric film
WO2002090614A1 (en)*2001-03-202002-11-14Mattson Technology, Inc.Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6541280B2 (en)2001-03-202003-04-01Motorola, Inc.High K dielectric film
US6602720B2 (en)2001-03-282003-08-05Sharp Laboratories Of America, Inc.Single transistor ferroelectric transistor structure with high-K insulator and method of fabricating same
US6441417B1 (en)2001-03-282002-08-27Sharp Laboratories Of America, Inc.Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same
US6531324B2 (en)2001-03-282003-03-11Sharp Laboratories Of America, Inc.MFOS memory transistor & method of fabricating same
JP3792589B2 (en)2001-03-292006-07-05富士通株式会社 Manufacturing method of semiconductor device
US6461949B1 (en)2001-03-292002-10-08Macronix International Co. Ltd.Method for fabricating a nitride read-only-memory (NROM)
US6448192B1 (en)2001-04-162002-09-10Motorola, Inc.Method for forming a high dielectric constant material
EP1251530A3 (en)2001-04-162004-12-29Shipley Company LLCDielectric laminate for a capacitor
JP4025030B2 (en)2001-04-172007-12-19東京エレクトロン株式会社 Substrate processing apparatus and transfer arm
US6465853B1 (en)2001-05-082002-10-15Motorola, Inc.Method for making semiconductor device
US20020167089A1 (en)2001-05-142002-11-14Micron Technology, Inc.Copper dual damascene interconnect technology
US6441421B1 (en)2001-05-172002-08-27International Business Machines CorporationHigh dielectric constant materials forming components of DRAM storage cells
KR100426219B1 (en)2001-05-182004-04-06홍국선Dielectric Ceramic Compositions and Manufacturing Method of Multilayer components thereof
US6852194B2 (en)2001-05-212005-02-08Tokyo Electron LimitedProcessing apparatus, transferring apparatus and transferring method
KR100363332B1 (en)2001-05-232002-12-05Samsung Electronics Co LtdMethod for forming semiconductor device having gate all-around type transistor
JP3863391B2 (en)2001-06-132006-12-27Necエレクトロニクス株式会社 Semiconductor device
US6511873B2 (en)2001-06-152003-01-28International Business Machines CorporationHigh-dielectric constant insulators for FEOL capacitors
US6709989B2 (en)2001-06-212004-03-23Motorola, Inc.Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6816225B2 (en)2001-06-262004-11-09International Business Machines CorporationLCD cell construction by mechanical thinning of a color filter substrate
US6420279B1 (en)2001-06-282002-07-16Sharp Laboratories Of America, Inc.Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
JP4921652B2 (en)2001-08-032012-04-25エイエスエム インターナショナル エヌ.ヴェー. Method for depositing yttrium oxide and lanthanum oxide thin films
JP4666912B2 (en)2001-08-062011-04-06エー・エス・エムジニテックコリア株式会社 Plasma reinforced atomic layer deposition apparatus and thin film forming method using the same
KR100416602B1 (en)2001-08-082004-02-05삼성전자주식회사Method of forming stacked capacitor
US6563160B2 (en)2001-08-092003-05-13International Business Machines CorporationHigh dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits
KR100427030B1 (en)2001-08-272004-04-14주식회사 하이닉스반도체Method for forming film with muli-elements and fabricating capacitor using the same
US6461914B1 (en)2001-08-292002-10-08Motorola, Inc.Process for making a MIM capacitor
US6778441B2 (en)2001-08-302004-08-17Micron Technology, Inc.Integrated circuit memory device and method
US7135734B2 (en)2001-08-302006-11-14Micron Technology, Inc.Graded composition metal oxide tunnel barrier interpoly insulators
US6730575B2 (en)2001-08-302004-05-04Micron Technology, Inc.Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure
US6586797B2 (en)2001-08-302003-07-01Micron Technology, Inc.Graded composition gate insulators to reduce tunneling barriers in flash memory devices
US7012297B2 (en)2001-08-302006-03-14Micron Technology, Inc.Scalable flash/NV structures and devices with extended endurance
US6963103B2 (en)2001-08-302005-11-08Micron Technology, Inc.SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7075829B2 (en)2001-08-302006-07-11Micron Technology, Inc.Programmable memory address and decode circuits with low tunnel barrier interpoly insulators
US7087954B2 (en)2001-08-302006-08-08Micron Technology, Inc.In service programmable logic arrays with low tunnel barrier interpoly insulators
US6806145B2 (en)2001-08-312004-10-19Asm International, N.V.Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
JP4938962B2 (en)*2001-09-142012-05-23エーエスエム インターナショナル エヌ.ヴェー. Metal nitride deposition by ALD using gettering reactant
KR100408743B1 (en)2001-09-212003-12-11삼성전자주식회사Method of forming a quantum dot and method of forming a gate electrode using the same
US20030059535A1 (en)2001-09-252003-03-27Lee LuoCycling deposition of low temperature films in a cold wall single wafer process chamber
US6605549B2 (en)2001-09-292003-08-12Intel CorporationMethod for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6720259B2 (en)2001-10-022004-04-13Genus, Inc.Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6960537B2 (en)2001-10-022005-11-01Asm America, Inc.Incorporation of nitrogen into high k dielectric film
US7524528B2 (en)2001-10-052009-04-28Cabot CorporationPrecursor compositions and methods for the deposition of passive electrical components on a substrate
US6562491B1 (en)2001-10-152003-05-13Advanced Micro Devices, Inc.Preparation of composite high-K dielectrics
US6559014B1 (en)2001-10-152003-05-06Advanced Micro Devices, Inc.Preparation of composite high-K / standard-K dielectrics for semiconductor devices
US7025894B2 (en)2001-10-162006-04-11Hewlett-Packard Development Company, L.P.Fluid-ejection devices and a deposition method for layers thereof
US6551893B1 (en)2001-11-272003-04-22Micron Technology, Inc.Atomic layer deposition of capacitor dielectric
US6514808B1 (en)2001-11-302003-02-04Motorola, Inc.Transistor having a high K dielectric and short gate length and method therefor
US6773507B2 (en)2001-12-062004-08-10Applied Materials, Inc.Apparatus and method for fast-cycle atomic layer deposition
US6900122B2 (en)2001-12-202005-05-31Micron Technology, Inc.Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6953730B2 (en)2001-12-202005-10-11Micron Technology, Inc.Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6790755B2 (en)2001-12-272004-09-14Advanced Micro Devices, Inc.Preparation of stack high-K gate dielectrics with nitrided layer
US6828161B2 (en)2001-12-312004-12-07Texas Instruments IncorporatedMethod of forming an FeRAM having a multi-layer hard mask and patterning thereof
US6674138B1 (en)2001-12-312004-01-06Advanced Micro Devices, Inc.Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6767750B2 (en)2001-12-312004-07-27Texas Instruments IncorporatedDetection of AIOx ears for process control in FeRAM processing
FR2834387B1 (en)2001-12-312004-02-27Memscap ELECTRONIC COMPONENT INCORPORATING AN INTEGRATED CIRCUIT AND A MICRO-CAPACITOR
US6821873B2 (en)2002-01-102004-11-23Texas Instruments IncorporatedAnneal sequence for high-κ film property optimization
US6528858B1 (en)2002-01-112003-03-04Advanced Micro Devices, Inc.MOSFETs with differing gate dielectrics and method of formation
US6767795B2 (en)2002-01-172004-07-27Micron Technology, Inc.Highly reliable amorphous high-k gate dielectric ZrOXNY
US6645882B1 (en)2002-01-172003-11-11Advanced Micro Devices, Inc.Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US6620670B2 (en)2002-01-182003-09-16Applied Materials, Inc.Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6906256B1 (en)2002-01-222005-06-14Nanoset, LlcNanomagnetic shielding assembly
US20030141560A1 (en)2002-01-252003-07-31Shi-Chung SunIncorporating TCS-SiN barrier layer in dual gate CMOS devices
US7101770B2 (en)2002-01-302006-09-05Micron Technology, Inc.Capacitive techniques to reduce noise in high speed interconnections
JP4010819B2 (en)2002-02-042007-11-21Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6784480B2 (en)2002-02-122004-08-31Micron Technology, Inc.Asymmetric band-gap engineered nonvolatile memory device
US6893984B2 (en)2002-02-202005-05-17Micron Technology Inc.Evaporated LaA1O3 films for gate dielectrics
US6586349B1 (en)2002-02-212003-07-01Advanced Micro Devices, Inc.Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6900481B2 (en)2002-02-212005-05-31Intel CorporationNon-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors
US6452229B1 (en)2002-02-212002-09-17Advanced Micro Devices, Inc.Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6787185B2 (en)2002-02-252004-09-07Micron Technology, Inc.Deposition methods for improved delivery of metastable species
US6451641B1 (en)2002-02-272002-09-17Advanced Micro Devices, Inc.Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
US6972267B2 (en)2002-03-042005-12-06Applied Materials, Inc.Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6730367B2 (en)2002-03-052004-05-04Micron Technology, Inc.Atomic layer deposition method with point of use generated reactive gas species
US20030170450A1 (en)2002-03-052003-09-11Stewart Steven L.Attachment of surface mount devices to printed circuit boards using a thermoplastic adhesive
US6900106B2 (en)2002-03-062005-05-31Micron Technology, Inc.Methods of forming capacitor constructions
US6893506B2 (en)2002-03-112005-05-17Micron Technology, Inc.Atomic layer deposition apparatus and method
US7220312B2 (en)2002-03-132007-05-22Micron Technology, Inc.Methods for treating semiconductor substrates
US6642573B1 (en)2002-03-132003-11-04Advanced Micro Devices, Inc.Use of high-K dielectric material in modified ONO structure for semiconductor devices
US6812100B2 (en)2002-03-132004-11-02Micron Technology, Inc.Evaporation of Y-Si-O films for medium-k dielectrics
US6730163B2 (en)2002-03-142004-05-04Micron Technology, Inc.Aluminum-containing material and atomic layer deposition methods
US6717226B2 (en)2002-03-152004-04-06Motorola, Inc.Transistor with layered high-K gate dielectric and method therefor
JP4090347B2 (en)2002-03-182008-05-28株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US20030179521A1 (en)2002-03-202003-09-25Lionel GirardieElectronic microcomponent incorporating a capacitive structure and fabrication process
US6800134B2 (en)2002-03-262004-10-05Micron Technology, Inc.Chemical vapor deposition methods and atomic layer deposition methods
JP4014431B2 (en)2002-03-272007-11-28富士通株式会社 Semiconductor memory device and manufacturing method of semiconductor memory device
JP3937892B2 (en)2002-04-012007-06-27日本電気株式会社 Thin film forming method and semiconductor device manufacturing method
KR100473113B1 (en)*2002-04-042005-03-08삼성전자주식회사Method Of Fabricating A Capacitor Of Semiconductor Device
US6750066B1 (en)2002-04-082004-06-15Advanced Micro Devices, Inc.Precision high-K intergate dielectric layer
US6660578B1 (en)2002-04-082003-12-09Advanced Micro Devices, Inc.High-K dielectric having barrier layer for P-doped devices and method of fabrication
US6743736B2 (en)2002-04-112004-06-01Micron Technology, Inc.Reactive gaseous deposition precursor feed apparatus
US20030235961A1 (en)2002-04-172003-12-25Applied Materials, Inc.Cyclical sequential deposition of multicomponent films
US7374617B2 (en)2002-04-252008-05-20Micron Technology, Inc.Atomic layer deposition methods and chemical vapor deposition methods
KR100472730B1 (en)2002-04-262005-03-08주식회사 하이닉스반도체Method for fabricating metal electrode with Atomic Layer Deposition in semiconductor device
US7045430B2 (en)2002-05-022006-05-16Micron Technology Inc.Atomic layer-deposited LaAlO3 films for gate dielectrics
US7589029B2 (en)2002-05-022009-09-15Micron Technology, Inc.Atomic layer deposition and conversion
US20030211488A1 (en)2002-05-072003-11-13Northwestern UniversityNanoparticle probs with Raman spectrocopic fingerprints for analyte detection
US6656764B1 (en)2002-05-152003-12-02Taiwan Semiconductor Manufacturing CompanyProcess for integration of a high dielectric constant gate insulator layer in a CMOS device
US7164165B2 (en)2002-05-162007-01-16Micron Technology, Inc.MIS capacitor
US6784101B1 (en)2002-05-162004-08-31Advanced Micro Devices IncFormation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
KR100451569B1 (en)2002-05-182004-10-08주식회사 하이닉스반도체Method for fabricating semiconductor device having Hydrogen barrier
US6794281B2 (en)2002-05-202004-09-21Freescale Semiconductor, Inc.Dual metal gate transistors for CMOS process
US7189992B2 (en)*2002-05-212007-03-13State Of Oregon Acting By And Through The Oregon State Board Of Higher Education On Behalf Of Oregon State UniversityTransistor structures having a transparent channel
US7067439B2 (en)2002-06-142006-06-27Applied Materials, Inc.ALD metal oxide deposition process using direct oxidation
KR100455297B1 (en)2002-06-192004-11-06삼성전자주식회사Manufacturing method of inorganic nano tube
US7193893B2 (en)2002-06-212007-03-20Micron Technology, Inc.Write once read only memory employing floating gates
US6888739B2 (en)2002-06-212005-05-03Micron Technology Inc.Nanocrystal write once read only memory for archival storage
US7005697B2 (en)2002-06-212006-02-28Micron Technology, Inc.Method of forming a non-volatile electron storage memory and the resulting device
US6804136B2 (en)2002-06-212004-10-12Micron Technology, Inc.Write once read only memory employing charge trapping in insulators
US6617639B1 (en)2002-06-212003-09-09Advanced Micro Devices, Inc.Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling
US6777363B2 (en)2002-07-052004-08-17Samsung Electro-Mechanics Co., Ltd.Non-reducable, low temperature dielectric ceramic composition, capacitor and method of preparing
JP3790751B2 (en)2002-07-192006-06-28インターナショナル・ビジネス・マシーンズ・コーポレーション Electronic device and field effect transistor device
US6753271B2 (en)2002-08-152004-06-22Micron Technology, Inc.Atomic layer deposition methods
US6787421B2 (en)2002-08-152004-09-07Freescale Semiconductor, Inc.Method for forming a dual gate oxide device using a metal oxide and resulting device
US6995081B2 (en)2002-08-282006-02-07Micron Technology, Inc.Systems and methods for forming tantalum silicide layers
US7030042B2 (en)2002-08-282006-04-18Micron Technology, Inc.Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US7253122B2 (en)2002-08-282007-08-07Micron Technology, Inc.Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US6730164B2 (en)2002-08-282004-05-04Micron Technology, Inc.Systems and methods for forming strontium- and/or barium-containing layers
US7112485B2 (en)2002-08-282006-09-26Micron Technology, Inc.Systems and methods for forming zirconium and/or hafnium-containing layers
US8617312B2 (en)2002-08-282013-12-31Micron Technology, Inc.Systems and methods for forming layers that contain niobium and/or tantalum
US6984592B2 (en)2002-08-282006-01-10Micron Technology, Inc.Systems and methods for forming metal-doped alumina
US6958300B2 (en)2002-08-282005-10-25Micron Technology, Inc.Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6784049B2 (en)2002-08-282004-08-31Micron Technology, Inc.Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6967159B2 (en)2002-08-282005-11-22Micron Technology, Inc.Systems and methods for forming refractory metal nitride layers using organic amines
US7087481B2 (en)2002-08-282006-08-08Micron Technology, Inc.Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US6794284B2 (en)2002-08-282004-09-21Micron Technology, Inc.Systems and methods for forming refractory metal nitride layers using disilazanes
US7041609B2 (en)2002-08-282006-05-09Micron Technology, Inc.Systems and methods for forming metal oxides using alcohols
US7084078B2 (en)2002-08-292006-08-01Micron Technology, Inc.Atomic layer deposited lanthanide doped TiOx dielectric films
US6830983B2 (en)2002-08-292004-12-14Micron Technology, Inc.Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide
US7122415B2 (en)2002-09-122006-10-17Promos Technologies, Inc.Atomic layer deposition of interpoly oxides in a non-volatile memory device
KR100474072B1 (en)2002-09-172005-03-10주식회사 하이닉스반도체Method for forming noble metal films
US6630383B1 (en)2002-09-232003-10-07Advanced Micro Devices, Inc.Bi-layer floating gate for improved work function between floating gate and a high-K dielectric layer
JP3619240B2 (en)2002-09-262005-02-09キヤノン株式会社 Method for manufacturing electron-emitting device and method for manufacturing display
US6821563B2 (en)2002-10-022004-11-23Applied Materials, Inc.Gas distribution system for cyclical layer deposition
US6770536B2 (en)2002-10-032004-08-03Agere Systems Inc.Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6887758B2 (en)2002-10-092005-05-03Freescale Semiconductor, Inc.Non-volatile memory device and method for forming
JP2004158487A (en)2002-11-012004-06-03Matsushita Electric Ind Co Ltd Method for manufacturing semiconductor device
US6982230B2 (en)2002-11-082006-01-03International Business Machines CorporationDeposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US6885065B2 (en)2002-11-202005-04-26Freescale Semiconductor, Inc.Ferromagnetic semiconductor structure and method for forming the same
US20040099889A1 (en)2002-11-272004-05-27Agere Systems, Inc.Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
US7122414B2 (en)2002-12-032006-10-17Asm International, Inc.Method to fabricate dual metal CMOS devices
US6858524B2 (en)2002-12-032005-02-22Asm International, NvMethod of depositing barrier layer for metal gates
US7045406B2 (en)2002-12-032006-05-16Asm International, N.V.Method of forming an electrode with adjusted work function
US7101813B2 (en)2002-12-042006-09-05Micron Technology Inc.Atomic layer deposited Zr-Sn-Ti-O films
JP2004188848A (en)2002-12-122004-07-08Konica Minolta Holdings IncPrint plate material
JP4290421B2 (en)2002-12-272009-07-08Necエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6762114B1 (en)2002-12-312004-07-13Texas Instruments IncorporatedMethods for transistor gate fabrication and for reducing high-k gate dielectric roughness
US6750126B1 (en)2003-01-082004-06-15Texas Instruments IncorporatedMethods for sputter deposition of high-k dielectric films
US20040144980A1 (en)2003-01-272004-07-29Ahn Kie Y.Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
KR100541179B1 (en)2003-02-032006-01-11삼성전자주식회사 Dielectric film forming apparatus and method
US6863725B2 (en)2003-02-042005-03-08Micron Technology, Inc.Method of forming a Ta2O5 comprising layer
US6884685B2 (en)2003-02-142005-04-26Freescale Semiconductors, Inc.Radical oxidation and/or nitridation during metal oxide layer deposition process
JP4574951B2 (en)2003-02-262010-11-04株式会社東芝 Semiconductor device and manufacturing method thereof
US6930059B2 (en)2003-02-272005-08-16Sharp Laboratories Of America, Inc.Method for depositing a nanolaminate film by atomic layer deposition
US20040168627A1 (en)2003-02-272004-09-02Sharp Laboratories Of America, Inc.Atomic layer deposition of oxide film
TW584934B (en)2003-03-052004-04-21Au Optronics CorpMethod of forming a contact and structure thereof
US6780708B1 (en)2003-03-052004-08-24Advanced Micro Devices, Inc.Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US7019351B2 (en)2003-03-122006-03-28Micron Technology, Inc.Transistor devices, and methods of forming transistor devices and circuit devices
JP4907839B2 (en)2003-03-262012-04-04ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7135369B2 (en)2003-03-312006-11-14Micron Technology, Inc.Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en)2003-04-042004-10-07Applied Materials, Inc.Method for hafnium nitride deposition
US7442415B2 (en)2003-04-112008-10-28Sharp Laboratories Of America, Inc.Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US20050070126A1 (en)2003-04-212005-03-31Yoshihide SenzakiSystem and method for forming multi-component dielectric films
US7183186B2 (en)2003-04-222007-02-27Micro Technology, Inc.Atomic layer deposited ZrTiO4 films
KR100546324B1 (en)2003-04-222006-01-26삼성전자주식회사 Metal oxide thin film formation method by ALD, lanthanum oxide film formation method and high-k dielectric film formation method of semiconductor device
US7292766B2 (en)2003-04-282007-11-063M Innovative Properties CompanyUse of glasses containing rare earth oxide, alumina, and zirconia and dopant in optical waveguides
US7115528B2 (en)2003-04-292006-10-03Micron Technology, Inc.Systems and method for forming silicon oxide layers
KR100885910B1 (en)2003-04-302009-02-26삼성전자주식회사 Non-volatile semiconductor memory device having an OHA film in the gate stack and a manufacturing method thereof
US6740605B1 (en)2003-05-052004-05-25Advanced Micro Devices, Inc.Process for reducing hydrogen contamination in dielectric materials in memory devices
KR100526463B1 (en)2003-05-072005-11-08매그나칩 반도체 유한회사Method for manufacturing semiconductor device
US6970053B2 (en)2003-05-222005-11-29Micron Technology, Inc.Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
KR100555543B1 (en)2003-06-242006-03-03삼성전자주식회사 A method of forming a high dielectric film by atomic layer deposition and a method of manufacturing a capacitor having the high dielectric film
US7125815B2 (en)2003-07-072006-10-24Micron Technology, Inc.Methods of forming a phosphorous doped silicon dioxide comprising layer
US6797572B1 (en)2003-07-112004-09-28Advanced Micro Devices, Inc.Method for forming a field effect transistor having a high-k gate dielectric and related structure
US6872613B1 (en)2003-09-042005-03-29Advanced Micro Devices, Inc.Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure
US7071066B2 (en)*2003-09-152006-07-04Taiwan Semiconductor Manufacturing Co., Ltd.Method and structure for forming high-k gates
US6958265B2 (en)2003-09-162005-10-25Freescale Semiconductor, Inc.Semiconductor device with nanoclusters
US7141522B2 (en)2003-09-182006-11-283M Innovative Properties CompanyCeramics comprising Al2O3, Y2O3, ZrO2 and/or HfO2, and Nb2O5 and/or Ta2O5 and methods of making the same
US7064048B2 (en)2003-10-172006-06-20United Microelectronics Corp.Method of forming a semi-insulating region
US7074719B2 (en)2003-11-282006-07-11International Business Machines CorporationALD deposition of ruthenium
US7115530B2 (en)2003-12-032006-10-03Texas Instruments IncorporatedTop surface roughness reduction of high-k dielectric materials using plasma based processes
US7157769B2 (en)2003-12-182007-01-02Micron Technology, Inc.Flash memory having a high-permittivity tunnel dielectric
US7102875B2 (en)2003-12-292006-09-05Hynix Semiconductor Inc.Capacitor with aluminum oxide and lanthanum oxide containing dielectric structure and fabrication method thereof
JP4485932B2 (en)2003-12-312010-06-23東部エレクトロニクス株式会社 Flash memory device and programming and erasing method using the same
US7154779B2 (en)2004-01-212006-12-26Sandisk CorporationNon-volatile memory cell using high-k material inter-gate programming
US7018868B1 (en)2004-02-022006-03-28Advanced Micro Devices, Inc.Disposable hard mask for memory bitline scaling
US7221018B2 (en)2004-02-102007-05-22Micron Technology, Inc.NROM flash memory with a high-permittivity gate dielectric
US7595528B2 (en)2004-03-102009-09-29Nanosys, Inc.Nano-enabled memory devices and anisotropic charge carrying arrays
US20050202659A1 (en)2004-03-122005-09-15Infineon Technologies North America Corp.Ion implantation of high-k materials in semiconductor devices
US7312165B2 (en)2004-05-052007-12-25Jursich Gregory MCodeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
US8323754B2 (en)2004-05-212012-12-04Applied Materials, Inc.Stabilization of high-k dielectric materials
US7279413B2 (en)2004-06-162007-10-09International Business Machines CorporationHigh-temperature stable gate structure with metallic electrode
US7091130B1 (en)2004-06-252006-08-15Freescale Semiconductor, Inc.Method of forming a nanocluster charge storage device
US7323424B2 (en)2004-06-292008-01-29Micron Technology, Inc.Semiconductor constructions comprising cerium oxide and titanium oxide
US7148548B2 (en)2004-07-202006-12-12Intel CorporationSemiconductor device with a high-k gate dielectric and a metal gate electrode
KR100593659B1 (en)2004-07-212006-06-28삼성전자주식회사 Atomic layer deposition method, method of manufacturing gate structure using same and method of manufacturing capacitor
US7138681B2 (en)2004-07-272006-11-21Micron Technology, Inc.High density stepped, non-planar nitride read only memory
US7164168B2 (en)2004-08-032007-01-16Micron Technology, Inc.Non-planar flash memory having shielding between floating gates
US7151294B2 (en)2004-08-032006-12-19Micron Technology, Inc.High density stepped, non-planar flash memory
US7388251B2 (en)2004-08-112008-06-17Micron Technology, Inc.Non-planar flash memory array with shielded floating gates on silicon mesas
KR100611072B1 (en)2004-08-112006-08-10삼성전자주식회사 Atomic layer deposition method, method of manufacturing gate structure using same and method of manufacturing capacitor
US7081421B2 (en)2004-08-262006-07-25Micron Technology, Inc.Lanthanide oxide dielectric layer
US7588988B2 (en)2004-08-312009-09-15Micron Technology, Inc.Method of forming apparatus having oxide films formed using atomic layer deposition
US7250367B2 (en)2004-09-012007-07-31Micron Technology, Inc.Deposition methods using heteroleptic precursors
US20060063318A1 (en)2004-09-102006-03-23Suman DattaReducing ambipolar conduction in carbon nanotube transistors
US7282773B2 (en)2004-09-142007-10-16Advanced Micro Devices Inc.Semiconductor device with high-k dielectric layer
US7518179B2 (en)2004-10-082009-04-14Freescale Semiconductor, Inc.Virtual ground memory array and method therefor
US20060257563A1 (en)2004-10-132006-11-16Seok-Joo DohMethod of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
US20060125030A1 (en)2004-12-132006-06-15Micron Technology, Inc.Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
US7560395B2 (en)2005-01-052009-07-14Micron Technology, Inc.Atomic layer deposited hafnium tantalum oxide dielectrics
US7316962B2 (en)2005-01-072008-01-08Infineon Technologies AgHigh dielectric constant materials
US7109079B2 (en)2005-01-262006-09-19Freescale Semiconductor, Inc.Metal gate transistor CMOS process and method for making
US7508648B2 (en)2005-02-082009-03-24Micron Technology, Inc.Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en)2005-02-102008-05-20Micron Technology, Inc.Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en)2005-02-152008-07-15Micron Technology, Inc.Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en)2005-02-232009-03-03Micron Technology, Inc.Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7365027B2 (en)2005-03-292008-04-29Micron Technology, Inc.ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en)2005-03-292010-03-30Micron Technology, Inc.Atomic layer deposited titanium silicon oxide films
US7504700B2 (en)2005-04-212009-03-17International Business Machines CorporationMethod of forming an ultra-thin [[HfSiO]] metal silicate film for high performance CMOS applications and semiconductor structure formed in said method
US7390756B2 (en)2005-04-282008-06-24Micron Technology, Inc.Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en)2005-04-282010-02-16Micron Technology, Inc.Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en)2005-05-272009-08-11Micron Technology, Inc.Hafnium titanium oxide films
US20060267113A1 (en)*2005-05-272006-11-30Tobin Philip JSemiconductor device structure and method therefor
US7510983B2 (en)2005-06-142009-03-31Micron Technology, Inc.Iridium/zirconium oxide structure
US7195999B2 (en)2005-07-072007-03-27Micron Technology, Inc.Metal-substituted transistor gates
US7619275B2 (en)2005-07-252009-11-17Freescale Semiconductor, Inc.Process for forming an electronic device including discontinuous storage elements
US20070018214A1 (en)2005-07-252007-01-25Micron Technology, Inc.Magnesium titanium oxide films
US7575978B2 (en)2005-08-042009-08-18Micron Technology, Inc.Method for making conductive nanoparticle charge storage element
US20070049023A1 (en)2005-08-292007-03-01Micron Technology, Inc.Zirconium-doped gadolinium oxide films
US7393736B2 (en)2005-08-292008-07-01Micron Technology, Inc.Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7544596B2 (en)2005-08-302009-06-09Micron Technology, Inc.Atomic layer deposition of GdScO3 films as gate dielectrics
US8110469B2 (en)2005-08-302012-02-07Micron Technology, Inc.Graded dielectric layers
US7214994B2 (en)2005-08-312007-05-08Micron Technology, Inc.Self aligned metal gates on high-k dielectrics
US7410910B2 (en)2005-08-312008-08-12Micron Technology, Inc.Lanthanum aluminum oxynitride dielectric films
US8071476B2 (en)2005-08-312011-12-06Micron Technology, Inc.Cobalt titanium oxide dielectric films
US7824990B2 (en)2005-12-052010-11-02Taiwan Semiconductor Manufacturing Company, Ltd.Multi-metal-oxide high-K gate dielectrics
US7615438B2 (en)2005-12-082009-11-10Micron Technology, Inc.Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en)2005-12-082009-09-22Micron Technology, Inc.Hafnium tantalum titanium oxide films
US7972974B2 (en)2006-01-102011-07-05Micron Technology, Inc.Gallium lanthanide oxide films
US7709402B2 (en)2006-02-162010-05-04Micron Technology, Inc.Conductive layers for hafnium silicon oxynitride films
US7582161B2 (en)2006-04-072009-09-01Micron Technology, Inc.Atomic layer deposited titanium-doped indium oxide films
US7749879B2 (en)2006-08-032010-07-06Micron Technology, Inc.ALD of silicon films on germanium
US7727908B2 (en)2006-08-032010-06-01Micron Technology, Inc.Deposition of ZrA1ON films
US7985995B2 (en)2006-08-032011-07-26Micron Technology, Inc.Zr-substituted BaTiO3 films
US7582549B2 (en)2006-08-252009-09-01Micron Technology, Inc.Atomic layer deposited barium strontium titanium oxide films
US7776765B2 (en)2006-08-312010-08-17Micron Technology, Inc.Tantalum silicon oxynitride high-k dielectrics and metal gates
US7605030B2 (en)2006-08-312009-10-20Micron Technology, Inc.Hafnium tantalum oxynitride high-k dielectric and metal gates
US7432548B2 (en)2006-08-312008-10-07Micron Technology, Inc.Silicon lanthanide oxynitride films
US7563730B2 (en)2006-08-312009-07-21Micron Technology, Inc.Hafnium lanthanide oxynitride films
US20080057659A1 (en)2006-08-312008-03-06Micron Technology, Inc.Hafnium aluminium oxynitride high-K dielectric and metal gates
US7727910B2 (en)2007-02-132010-06-01Micron Technology, Inc.Zirconium-doped zinc oxide structures and methods
US7498230B2 (en)2007-02-132009-03-03Micron Technology, Inc.Magnesium-doped zinc oxide structures and methods
US7517783B2 (en)2007-02-132009-04-14Micron Technology, Inc.Molybdenum-doped indium oxide structures and methods

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US5714336A (en)*1986-08-051998-02-03Hoechst AktiengesellschaftProcess and test kit for determining free active compounds in biological fluids
US6010969A (en)*1996-10-022000-01-04Micron Technology, Inc.Method of depositing films on semiconductor devices by using carboxylate complexes
US6020243A (en)*1997-07-242000-02-01Texas Instruments IncorporatedZirconium and/or hafnium silicon-oxynitride gate dielectric
US6020024A (en)*1997-08-042000-02-01Motorola, Inc.Method for forming high dielectric constant metal oxides
US6184612B1 (en)*1997-08-082001-02-06Pioneer Electronic CorporationElectron emission device with electron supply layer of hydrogenated amorphous silicon
US5879459A (en)*1997-08-291999-03-09Genus, Inc.Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6025627A (en)*1998-05-292000-02-15Micron Technology, Inc.Alternate method and structure for improved floating gate tunneling devices
US6027961A (en)*1998-06-302000-02-22Motorola, Inc.CMOS semiconductor devices and method of formation
US6682602B2 (en)*1998-09-012004-01-27Micron Technology, Inc.Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands
US20030003722A1 (en)*1998-09-012003-01-02Micron Technology, Inc.Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands
US6200893B1 (en)*1999-03-112001-03-13Genus, IncRadical-assisted sequential CVD
US6207589B1 (en)*1999-07-192001-03-27Sharp Laboratories Of America, Inc.Method of forming a doped metal oxide dielectric film
US6187484B1 (en)*1999-08-312001-02-13Micron Technology, Inc.Irradiation mask
US20040007171A1 (en)*1999-10-142004-01-15Mikko RitalaMethod for growing thin oxide films
US6203613B1 (en)*1999-10-192001-03-20International Business Machines CorporationAtomic layer deposition with nitrate containing precursors
US20030001241A1 (en)*2000-01-182003-01-02Agere Systems Guardian Corp.Semiconductor device and method of fabrication
US20020004276A1 (en)*2000-02-282002-01-10Micron Technology, Inc.Structure and method for dual gate oxide thicknesses
US20020004277A1 (en)*2000-02-282002-01-10Micron Technology, Inc.Structure and method for dual gate oxide thicknesses
US20020003403A1 (en)*2000-04-252002-01-10Ghosh Amalkumar P.Thin film encapsulation of organic light emitting diode devices
US20020024108A1 (en)*2000-06-262002-02-28Gerald LucovskyNovel non-crystalline oxides for use in microelectronic, optical, and other applications
US20020001971A1 (en)*2000-06-272002-01-03Hag-Ju ChoMethods of manufacturing integrated circuit devices that include a metal oxide layer disposed on another layer to protect the other layer from diffusion of impurities and integrated circuit devices manufactured using same
US6521911B2 (en)*2000-07-202003-02-18North Carolina State UniversityHigh dielectric constant metal silicates formed by controlled metal-surface reactions
US20020028541A1 (en)*2000-08-142002-03-07Lee Thomas H.Dense arrays and charge storage devices, and methods for making same
US20020024080A1 (en)*2000-08-312002-02-28Derderian Garo J.Capacitor fabrication methods and capacitor constructions
US20020025628A1 (en)*2000-08-312002-02-28Derderian Garo J.Capacitor fabrication methods and capacitor constructions
US6355561B1 (en)*2000-11-212002-03-12Micron Technology, Inc.ALD method to improve surface coverage
US20040009679A1 (en)*2001-01-192004-01-15Yeo Jae-HyunMethod of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6844604B2 (en)*2001-02-022005-01-18Samsung Electronics Co., Ltd.Dielectric layer for semiconductor device and method of manufacturing the same
US20030003702A1 (en)*2001-02-092003-01-02Micron Technology, Inc.Formation of metal oxide gate dielectric
US20030003730A1 (en)*2001-02-132003-01-02Micron Technology, Inc.Sequential pulse deposition
US6509280B2 (en)*2001-02-222003-01-21Samsung Electronics Co., Ltd.Method for forming a dielectric layer of a semiconductor device
US6858865B2 (en)*2001-02-232005-02-22Micron Technology, Inc.Doped aluminum oxide dielectrics
US20050034662A1 (en)*2001-03-012005-02-17Micro Technology, Inc.Methods, systems, and apparatus for uniform chemical-vapor depositions
US20050030825A1 (en)*2001-03-152005-02-10Micron Technology, Inc.Structures, methods, and systems for ferroelectric memory transistors
US20040004244A1 (en)*2001-03-152004-01-08Micron Technology, Inc.Structures, methods, and systems for ferroelectric memory transistors
US6858444B2 (en)*2001-03-152005-02-22Micron Technology, Inc.Method for making a ferroelectric memory transistor
US6514828B2 (en)*2001-04-202003-02-04Micron Technology, Inc.Method of fabricating a highly reliable gate oxide
US20030003635A1 (en)*2001-05-232003-01-02Paranjpe Ajit P.Atomic layer deposition for fabricating thin films
US20050037563A1 (en)*2001-06-132005-02-17Ahn Kie Y.Capacitor structures
US20030008243A1 (en)*2001-07-092003-01-09Micron Technology, Inc.Copper electroless deposition technology for ULSI metalization
US20030017717A1 (en)*2001-07-182003-01-23Ahn Kie Y.Methods for forming dielectric materials and methods for forming semiconductor devices
US20030020180A1 (en)*2001-07-242003-01-30Ahn Kie Y.Copper technology for ULSI metallization
US20030020169A1 (en)*2001-07-242003-01-30Ahn Kie Y.Copper technology for ULSI metallization
US6677250B2 (en)*2001-08-172004-01-13Micron Technology, Inc.CVD apparatuses and methods of forming a layer over a semiconductor substrate
US20030040196A1 (en)*2001-08-272003-02-27Lim Jung WookMethod of forming insulation layer in semiconductor devices for controlling the composition and the doping concentration
US20030042526A1 (en)*2001-08-292003-03-06Micron Technology, Inc.Method of improved high K dielectric-polysilicon interface for CMOS devices
US20050023603A1 (en)*2001-08-302005-02-03Micron Technology, Inc.Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators
US7166886B2 (en)*2001-08-302007-01-23Micron Technology, Inc.DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US20050023602A1 (en)*2001-08-302005-02-03Micron Technology, Inc.Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US20050026349A1 (en)*2001-08-302005-02-03Micron Technology, Inc.Flash memory with low tunnel barrier interpoly insulators
US6844203B2 (en)*2001-08-302005-01-18Micron Technology, Inc.Gate oxides, and methods of forming
US20050023595A1 (en)*2001-08-302005-02-03Micron Technology, Inc.Programmable array logic or memory devices with asymmetrical tunnel barriers
US7160817B2 (en)*2001-08-302007-01-09Micron Technology, Inc.Dielectric material forming methods
US6683005B2 (en)*2001-08-302004-01-27Micron Technology, Inc.Method of forming capacitor constructions
US20050029605A1 (en)*2001-08-302005-02-10Micron Technology, Inc.Highly reliable amorphous high-k gate oxide ZrO2
US20050032292A1 (en)*2001-08-302005-02-10Micron Technology, Inc.Crystalline or amorphous medium-K gate oxides, Y2O3 and Gd2O3
US6696332B2 (en)*2001-12-262004-02-24Texas Instruments IncorporatedBilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6838404B2 (en)*2002-01-092005-01-04Board Of Trustees Of University Of IllinoisMetal alkoxides and methods of making same
US6504214B1 (en)*2002-01-112003-01-07Advanced Micro Devices, Inc.MOSFET device having high-K dielectric layer
US20040009678A1 (en)*2002-02-282004-01-15Hitachi Kokusai Electric Inc.Method for manufacturing semiconductor device
US6844256B2 (en)*2002-03-132005-01-18Micron Technology, Inc.High permeability composite films to reduce noise in high speed interconnects
US20040013009A1 (en)*2002-04-042004-01-22Kabushiki Kaisha ToshibaSemiconductor memory device having a gate electrode and a method of manufacturing thereof
US6989565B1 (en)*2002-04-152006-01-24Lsi Logic CorporationMemory device having an electron trapping layer in a high-K dielectric gate stack
US7160577B2 (en)*2002-05-022007-01-09Micron Technology, Inc.Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20060000412A1 (en)*2002-05-022006-01-05Micron Technology, Inc.Systems and apparatus for atomic-layer deposition
US20050023624A1 (en)*2002-06-052005-02-03Micron Technology, Inc.Atomic layer-deposited HfAlO3 films for gate dielectrics
US20050023594A1 (en)*2002-06-052005-02-03Micron Technology, Inc.Pr2O3-based la-oxide gate dielectrics
US20040004859A1 (en)*2002-07-082004-01-08Micron Technology, Inc.Memory utilizing oxide nanolaminates
US20040004245A1 (en)*2002-07-082004-01-08Micron Technology, Inc.Memory utilizing oxide-conductor nanolaminates
US20050023574A1 (en)*2002-07-082005-02-03Micron Technology, Inc.Memory utilizing oxide-nitride nanolaminates
US20040004247A1 (en)*2002-07-082004-01-08Micron Technology, Inc.Memory utilizing oxide-nitride nanolaminates
US20040023461A1 (en)*2002-07-302004-02-05Micron Technology, Inc.Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040033701A1 (en)*2002-08-152004-02-19Micron Technology, Inc.Lanthanide doped tiox dielectric films
US20050023627A1 (en)*2002-08-152005-02-03Micron Technology, Inc.Lanthanide doped TiOx dielectric films by plasma oxidation
US20040033681A1 (en)*2002-08-152004-02-19Micron Technology, Inc.Lanthanide doped TiOx dielectric films by plasma oxidation
US20040033661A1 (en)*2002-08-162004-02-19Yeo Jae-HyunSemiconductor device and method for manufacturing the same
US20040038554A1 (en)*2002-08-212004-02-26Ahn Kie Y.Composite dielectric forming methods and composite dielectrics
US20050009370A1 (en)*2002-08-212005-01-13Ahn Kie Y.Composite dielectric forming methods and composite dielectrics
US20040036129A1 (en)*2002-08-222004-02-26Micron Technology, Inc.Atomic layer deposition of CMOS gates with variable work functions
US20050032342A1 (en)*2002-08-222005-02-10Micron Technology, Inc.Atomic layer deposition of CMOS gates with variable work functions
US20040038525A1 (en)*2002-08-262004-02-26Shuang MengEnhanced atomic layer deposition
US6673701B1 (en)*2002-08-272004-01-06Micron Technology, Inc.Atomic layer deposition methods
US20050023625A1 (en)*2002-08-282005-02-03Micron Technology, Inc.Atomic layer deposited HfSiON dielectric films
US6686212B1 (en)*2002-10-312004-02-03Sharp Laboratories Of America, Inc.Method to deposit a stacked high-κ gate dielectric for CMOS applications
US20050029604A1 (en)*2002-12-042005-02-10Micron Technology, Inc.Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20060003517A1 (en)*2002-12-042006-01-05Micron Technology, Inc.Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US6844260B2 (en)*2003-01-302005-01-18Micron Technology, Inc.Insitu post atomic layer deposition destruction of active species
US6852645B2 (en)*2003-02-132005-02-08Texas Instruments IncorporatedHigh temperature interface layer growth for high-k gate dielectric
US20060001151A1 (en)*2003-03-042006-01-05Micron Technology, Inc.Atomic layer deposited dielectric layers
US20050029547A1 (en)*2003-06-242005-02-10Micron Technology, Inc.Lanthanide oxide / hafnium oxide dielectric layers
US20050020017A1 (en)*2003-06-242005-01-27Micron Technology, Inc.Lanthanide oxide / hafnium oxide dielectric layers
US20050023626A1 (en)*2003-06-242005-02-03Micron Technology, Inc.Lanthanide oxide / hafnium oxide dielectrics
US6989573B2 (en)*2003-10-102006-01-24Micron Technology, Inc.Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US20060022252A1 (en)*2004-07-302006-02-02Samsung Electronics Co., Ltd.Nonvolatile memory device and method of fabricating the same
US20060024975A1 (en)*2004-08-022006-02-02Micron Technology, Inc.Atomic layer deposition of zirconium-doped tantalum oxide films
US7494939B2 (en)*2004-08-312009-02-24Micron Technology, Inc.Methods for forming a lanthanum-metal oxide dielectric layer
US20090032910A1 (en)*2004-12-132009-02-05Micron Technology, Inc.Dielectric stack containing lanthanum and hafnium
US20080014689A1 (en)*2006-07-072008-01-17Texas Instruments IncorporatedMethod for making planar nanowire surround gate mosfet
US20080042211A1 (en)*2006-08-182008-02-21Micron Technology, Inc.Strained semiconductor channels and methods of formation

Cited By (170)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US7869242B2 (en)1999-07-302011-01-11Micron Technology, Inc.Transmission lines for CMOS integrated circuits
US20050034662A1 (en)*2001-03-012005-02-17Micro Technology, Inc.Methods, systems, and apparatus for uniform chemical-vapor depositions
US7410668B2 (en)2001-03-012008-08-12Micron Technology, Inc.Methods, systems, and apparatus for uniform chemical-vapor depositions
US8652957B2 (en)2001-08-302014-02-18Micron Technology, Inc.High-K gate dielectric oxide
US20050032292A1 (en)*2001-08-302005-02-10Micron Technology, Inc.Crystalline or amorphous medium-K gate oxides, Y2O3 and Gd2O3
US7259434B2 (en)2001-08-302007-08-21Micron Technology, Inc.Highly reliable amorphous high-k gate oxide ZrO2
US7208804B2 (en)2001-08-302007-04-24Micron Technology, Inc.Crystalline or amorphous medium-K gate oxides, Y203 and Gd203
US7476925B2 (en)2001-08-302009-01-13Micron Technology, Inc.Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US8026161B2 (en)2001-08-302011-09-27Micron Technology, Inc.Highly reliable amorphous high-K gate oxide ZrO2
US7446368B2 (en)2001-08-302008-11-04Micron Technology, Inc.Deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators
US7560793B2 (en)2002-05-022009-07-14Micron Technology, Inc.Atomic layer deposition and conversion
US7670646B2 (en)2002-05-022010-03-02Micron Technology, Inc.Methods for atomic-layer deposition
US7160577B2 (en)2002-05-022007-01-09Micron Technology, Inc.Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7589029B2 (en)2002-05-022009-09-15Micron Technology, Inc.Atomic layer deposition and conversion
US7135421B2 (en)2002-06-052006-11-14Micron Technology, Inc.Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en)2002-06-052007-04-17Micron Technology, Inc.Method including forming gate dielectrics having multiple lanthanide oxide layers
US7554161B2 (en)2002-06-052009-06-30Micron Technology, Inc.HfAlO3 films for gate dielectrics
US8093638B2 (en)2002-06-052012-01-10Micron Technology, Inc.Systems with a gate dielectric having multiple lanthanide oxide layers
US20030228747A1 (en)*2002-06-052003-12-11Micron Technology, Inc.Pr2O3-based la-oxide gate dielectrics
US7235854B2 (en)2002-08-152007-06-26Micron Technology, Inc.Lanthanide doped TiOx dielectric films
US20050124174A1 (en)*2002-08-152005-06-09Micron Technology, Inc.Lanthanide doped TiOx dielectric films by plasma oxidation
US7439194B2 (en)2002-08-152008-10-21Micron Technology, Inc.Lanthanide doped TiOx dielectric films by plasma oxidation
US20040164365A1 (en)*2002-08-152004-08-26Micron Technology, Inc.Lanthanide doped TiOx dielectric films
US20050023625A1 (en)*2002-08-282005-02-03Micron Technology, Inc.Atomic layer deposited HfSiON dielectric films
US7326980B2 (en)2002-08-282008-02-05Micron Technology, Inc.Devices with HfSiON dielectric films which are Hf-O rich
US7410917B2 (en)2002-12-042008-08-12Micron Technology, Inc.Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7923381B2 (en)2002-12-042011-04-12Micron Technology, Inc.Methods of forming electronic devices containing Zr-Sn-Ti-O films
US8445952B2 (en)2002-12-042013-05-21Micron Technology, Inc.Zr-Sn-Ti-O films
US7402876B2 (en)2002-12-042008-07-22Micron Technology, Inc.Zr— Sn—Ti—O films
US7611959B2 (en)2002-12-042009-11-03Micron Technology, Inc.Zr-Sn-Ti-O films
US7405454B2 (en)2003-03-042008-07-29Micron Technology, Inc.Electronic apparatus with deposited dielectric layers
US20080166867A1 (en)*2003-03-252008-07-10Rohm Co., Ltd.Semiconductor device, method of manufacturing the same, and method of manufacturing metal compound thin film
US7790627B2 (en)*2003-03-252010-09-07Rohm Co., Ltd.Semiconductor device, method of manufacturing the same, and method of manufacturing metal compound thin film
US20050054165A1 (en)*2003-03-312005-03-10Micron Technology, Inc.Atomic layer deposited ZrAlxOy dielectric layers
US7135369B2 (en)2003-03-312006-11-14Micron Technology, Inc.Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20060255470A1 (en)*2003-03-312006-11-16Micron Technology, Inc.ZrAlxOy DIELECTRIC LAYERS
US7625794B2 (en)2003-03-312009-12-01Micron Technology, Inc.Methods of forming zirconium aluminum oxide
US7312494B2 (en)2003-06-242007-12-25Micron Technology, Inc.Lanthanide oxide / hafnium oxide dielectric layers
US8288809B2 (en)2004-08-022012-10-16Micron Technology, Inc.Zirconium-doped tantalum oxide films
US20060024975A1 (en)*2004-08-022006-02-02Micron Technology, Inc.Atomic layer deposition of zirconium-doped tantalum oxide films
US7601649B2 (en)2004-08-022009-10-13Micron Technology, Inc.Zirconium-doped tantalum oxide films
US7727905B2 (en)2004-08-022010-06-01Micron Technology, Inc.Zirconium-doped tantalum oxide films
US8765616B2 (en)2004-08-022014-07-01Micron Technology, Inc.Zirconium-doped tantalum oxide films
US7776762B2 (en)2004-08-022010-08-17Micron Technology, Inc.Zirconium-doped tantalum oxide films
US8907486B2 (en)2004-08-262014-12-09Micron Technology, Inc.Ruthenium for a dielectric containing a lanthanide
US8558325B2 (en)2004-08-262013-10-15Micron Technology, Inc.Ruthenium for a dielectric containing a lanthanide
US7719065B2 (en)2004-08-262010-05-18Micron Technology, Inc.Ruthenium layer for a dielectric layer containing a lanthanide oxide
US8237216B2 (en)2004-08-312012-08-07Micron Technology, Inc.Apparatus having a lanthanum-metal oxide semiconductor device
US7867919B2 (en)2004-08-312011-01-11Micron Technology, Inc.Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US7494939B2 (en)2004-08-312009-02-24Micron Technology, Inc.Methods for forming a lanthanum-metal oxide dielectric layer
US20060094191A1 (en)*2004-10-282006-05-04Choi Han-MeiMethods of manufacturing a semiconductor device including a dielectric layer including zirconium
US7402491B2 (en)*2004-10-282008-07-22Samsung Electronics Co., Ltd.Methods of manufacturing a semiconductor device including a dielectric layer including zirconium
US7235501B2 (en)2004-12-132007-06-26Micron Technology, Inc.Lanthanum hafnium oxide dielectrics
US7411237B2 (en)2004-12-132008-08-12Micron Technology, Inc.Lanthanum hafnium oxide dielectrics
US7915174B2 (en)2004-12-132011-03-29Micron Technology, Inc.Dielectric stack containing lanthanum and hafnium
US7560395B2 (en)2005-01-052009-07-14Micron Technology, Inc.Atomic layer deposited hafnium tantalum oxide dielectrics
US7602030B2 (en)2005-01-052009-10-13Micron Technology, Inc.Hafnium tantalum oxide dielectrics
US8524618B2 (en)2005-01-052013-09-03Micron Technology, Inc.Hafnium tantalum oxide dielectrics
US8278225B2 (en)2005-01-052012-10-02Micron Technology, Inc.Hafnium tantalum oxide dielectrics
US7863202B2 (en)2005-01-072011-01-04Qimonda AgHigh dielectric constant materials
US20060151845A1 (en)*2005-01-072006-07-13Shrinivas GovindarajanMethod to control interfacial properties for capacitors using a metal flash layer
US20060151822A1 (en)*2005-01-072006-07-13Shrinivas GovindarajanDRAM with high K dielectric storage capacitor and method of making the same
US20080096363A1 (en)*2005-01-072008-04-24Shrinivas GovindarajanHigh Dielectric Constant Materials
US7518246B2 (en)2005-02-102009-04-14Micron Technology, Inc.Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7374964B2 (en)2005-02-102008-05-20Micron Technology, Inc.Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US20060177975A1 (en)*2005-02-102006-08-10Micron Technology, Inc.Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7754618B2 (en)2005-02-102010-07-13Micron Technology, Inc.Method of forming an apparatus having a dielectric containing cerium oxide and aluminum oxide
US20080248618A1 (en)*2005-02-102008-10-09Micron Technology, Inc.ATOMIC LAYER DEPOSITION OF CeO2/Al2O3 FILMS AS GATE DIELECTRICS
US7423311B2 (en)2005-02-152008-09-09Micron Technology, Inc.Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US20060263972A1 (en)*2005-02-152006-11-23Micron Technology, Inc.ATOMIC LAYER DEPOSITION OF Zr3N4/ZrO2 FILMS AS GATE DIELECTRICS
US7399666B2 (en)2005-02-152008-07-15Micron Technology, Inc.Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7365027B2 (en)2005-03-292008-04-29Micron Technology, Inc.ALD of amorphous lanthanide doped TiOx films
US7511326B2 (en)2005-03-292009-03-31Micron Technology, Inc.ALD of amorphous lanthanide doped TiOx films
US8102013B2 (en)2005-03-292012-01-24Micron Technology, Inc.Lanthanide doped TiOx films
US7687409B2 (en)2005-03-292010-03-30Micron Technology, Inc.Atomic layer deposited titanium silicon oxide films
US8076249B2 (en)2005-03-292011-12-13Micron Technology, Inc.Structures containing titanium silicon oxide
US8399365B2 (en)2005-03-292013-03-19Micron Technology, Inc.Methods of forming titanium silicon oxide
US20060228868A1 (en)*2005-03-292006-10-12Micron Technology, Inc.ALD of amorphous lanthanide doped TiOx films
US20090173979A1 (en)*2005-03-292009-07-09Micron Technology, Inc.ALD OF AMORPHOUS LANTHANIDE DOPED TiOX FILMS
US20080217676A1 (en)*2005-04-282008-09-11Micron Technology, Inc.Zirconium silicon oxide films
US7390756B2 (en)2005-04-282008-06-24Micron Technology, Inc.Atomic layer deposited zirconium silicon oxide films
US8084808B2 (en)2005-04-282011-12-27Micron Technology, Inc.Zirconium silicon oxide films
US7662729B2 (en)2005-04-282010-02-16Micron Technology, Inc.Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7700989B2 (en)2005-05-272010-04-20Micron Technology, Inc.Hafnium titanium oxide films
US7572695B2 (en)2005-05-272009-08-11Micron Technology, Inc.Hafnium titanium oxide films
US7510983B2 (en)2005-06-142009-03-31Micron Technology, Inc.Iridium/zirconium oxide structure
US20060281330A1 (en)*2005-06-142006-12-14Micron Technology, Inc.Iridium / zirconium oxide structure
US7927948B2 (en)2005-07-202011-04-19Micron Technology, Inc.Devices with nanocrystals and methods of formation
US8288818B2 (en)2005-07-202012-10-16Micron Technology, Inc.Devices with nanocrystals and methods of formation
US8501563B2 (en)2005-07-202013-08-06Micron Technology, Inc.Devices with nanocrystals and methods of formation
US8921914B2 (en)2005-07-202014-12-30Micron Technology, Inc.Devices with nanocrystals and methods of formation
US7575978B2 (en)2005-08-042009-08-18Micron Technology, Inc.Method for making conductive nanoparticle charge storage element
US20090302371A1 (en)*2005-08-042009-12-10Micron Technology, Inc.Conductive nanoparticles
US9496355B2 (en)2005-08-042016-11-15Micron Technology, Inc.Conductive nanoparticles
US7989290B2 (en)2005-08-042011-08-02Micron Technology, Inc.Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US20090173991A1 (en)*2005-08-042009-07-09Marsh Eugene PMethods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US20070092989A1 (en)*2005-08-042007-04-26Micron Technology, Inc.Conductive nanoparticles
US8314456B2 (en)2005-08-042012-11-20Micron Technology, Inc.Apparatus including rhodium-based charge traps
US20070040195A1 (en)*2005-08-192007-02-22The University Of ChicagoMonolithic integrated passive and active electronic devices with biocompatible coatings
US20110121378A1 (en)*2005-08-292011-05-26Ahn Kie YZrXHfYSn1-X-YO2 FILMS AS HIGH K GATE DIELECTRICS
US20080224240A1 (en)*2005-08-292008-09-18Micron Technology, Inc.ATOMIC LAYER DEPOSITION OF Zrx Hfy Sn1-x-y O2 FILMS AS HIGH k GATE DIELECTRICS
US7875912B2 (en)2005-08-292011-01-25Micron Technology, Inc.Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8497542B2 (en)2005-08-292013-07-30Micron Technology, Inc.ZrXHfYSn1-X-YO2 films as high K gate dielectrics
US9627501B2 (en)2005-08-302017-04-18Micron Technology, Inc.Graded dielectric structures
US8110469B2 (en)2005-08-302012-02-07Micron Technology, Inc.Graded dielectric layers
US8951903B2 (en)2005-08-302015-02-10Micron Technology, Inc.Graded dielectric structures
US7531869B2 (en)2005-08-312009-05-12Micron Technology, Inc.Lanthanum aluminum oxynitride dielectric films
US7410910B2 (en)2005-08-312008-08-12Micron Technology, Inc.Lanthanum aluminum oxynitride dielectric films
US9129961B2 (en)2006-01-102015-09-08Micron Technology, Inc.Gallium lathanide oxide films
US7972974B2 (en)2006-01-102011-07-05Micron Technology, Inc.Gallium lanthanide oxide films
US9583334B2 (en)2006-01-102017-02-28Micron Technology, Inc.Gallium lanthanide oxide films
US8785312B2 (en)2006-02-162014-07-22Micron Technology, Inc.Conductive layers for hafnium silicon oxynitride
US7709402B2 (en)2006-02-162010-05-04Micron Technology, Inc.Conductive layers for hafnium silicon oxynitride films
US8628615B2 (en)2006-04-072014-01-14Micron Technology, Inc.Titanium-doped indium oxide films
US20070234949A1 (en)*2006-04-072007-10-11Micron Technology, Inc.Atomic layer deposited titanium-doped indium oxide films
US8273177B2 (en)2006-04-072012-09-25Micron Technology, Inc.Titanium-doped indium oxide films
US7582161B2 (en)2006-04-072009-09-01Micron Technology, Inc.Atomic layer deposited titanium-doped indium oxide films
US9502256B2 (en)2006-08-032016-11-22Micron Technology, Inc.ZrAION films
US9236245B2 (en)2006-08-032016-01-12Micron Technology, Inc.ZrA1ON films
US20080032465A1 (en)*2006-08-032008-02-07Micron Technology, Inc.Deposition of ZrAION films
US20100237403A1 (en)*2006-08-032010-09-23Ahn Kie YZrAlON FILMS
US8993455B2 (en)2006-08-032015-03-31Micron Technology, Inc.ZrAlON films
US7727908B2 (en)2006-08-032010-06-01Micron Technology, Inc.Deposition of ZrA1ON films
US20080057659A1 (en)*2006-08-312008-03-06Micron Technology, Inc.Hafnium aluminium oxynitride high-K dielectric and metal gates
US7544604B2 (en)2006-08-312009-06-09Micron Technology, Inc.Tantalum lanthanide oxynitride films
US7605030B2 (en)2006-08-312009-10-20Micron Technology, Inc.Hafnium tantalum oxynitride high-k dielectric and metal gates
US8466016B2 (en)2006-08-312013-06-18Micron Technolgy, Inc.Hafnium tantalum oxynitride dielectric
US20080054330A1 (en)*2006-08-312008-03-06Micron Technology, Inc.Tantalum lanthanide oxynitride films
US20080087945A1 (en)*2006-08-312008-04-17Micron Technology, Inc.Silicon lanthanide oxynitride films
US8519466B2 (en)2006-08-312013-08-27Micron Technology, Inc.Tantalum silicon oxynitride high-K dielectrics and metal gates
US20080124908A1 (en)*2006-08-312008-05-29Micron Technology, Inc.Hafnium tantalum oxynitride high-k dielectric and metal gates
US8168502B2 (en)2006-08-312012-05-01Micron Technology, Inc.Tantalum silicon oxynitride high-K dielectrics and metal gates
US8557672B2 (en)2006-08-312013-10-15Micron Technology, Inc.Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8114763B2 (en)2006-08-312012-02-14Micron Technology, Inc.Tantalum aluminum oxynitride high-K dielectric
US20080121962A1 (en)*2006-08-312008-05-29Micron Technology, Inc.Tantalum aluminum oxynitride high-k dielectric and metal gates
US8759170B2 (en)2006-08-312014-06-24Micron Technology, Inc.Hafnium tantalum oxynitride dielectric
US8084370B2 (en)2006-08-312011-12-27Micron Technology, Inc.Hafnium tantalum oxynitride dielectric
US8772851B2 (en)2006-08-312014-07-08Micron Technology, Inc.Dielectrics containing at least one of a refractory metal or a non-refractory metal
US7432548B2 (en)2006-08-312008-10-07Micron Technology, Inc.Silicon lanthanide oxynitride films
US20090236650A1 (en)*2006-08-312009-09-24Micron Technology, Inc.Tantalum lanthanide oxynitride films
US7759747B2 (en)2006-08-312010-07-20Micron Technology, Inc.Tantalum aluminum oxynitride high-κ dielectric
US7989362B2 (en)2006-08-312011-08-02Micron Technology, Inc.Hafnium lanthanide oxynitride films
US8951880B2 (en)2006-08-312015-02-10Micron Technology, Inc.Dielectrics containing at least one of a refractory metal or a non-refractory metal
US7902582B2 (en)2006-08-312011-03-08Micron Technology, Inc.Tantalum lanthanide oxynitride films
US7776765B2 (en)2006-08-312010-08-17Micron Technology, Inc.Tantalum silicon oxynitride high-k dielectrics and metal gates
US7563730B2 (en)2006-08-312009-07-21Micron Technology, Inc.Hafnium lanthanide oxynitride films
US20080057690A1 (en)*2006-08-312008-03-06Micron Technology, Inc.Tantalum silicon oxynitride high-k dielectrics and metal gates
US8367506B2 (en)2007-06-042013-02-05Micron Technology, Inc.High-k dielectrics with gold nano-particles
US9064866B2 (en)2007-06-042015-06-23Micro Technology, Inc.High-k dielectrics with gold nano-particles
US20080296650A1 (en)*2007-06-042008-12-04Micron Technology, Inc.High-k dielectrics with gold nano-particles
US20110048769A1 (en)*2009-09-012011-03-03Elpida Memory, Inc.Insulating film, method of manufacturing the same, and semiconductor device
US9290840B2 (en)2009-11-062016-03-22Beneq OyMethod for forming an electrically conductive oxide film, an electrically conductive oxide film, and uses for the same
US9892814B2 (en)2009-11-062018-02-13Beneq OyMethod for forming an electrically conductive oxide film, an electrically conductive oxide film, and uses for the same
US20140327062A1 (en)*2013-05-032014-11-06Ki-yeon ParkElectronic devices including oxide dielectric and interface layers
US10192742B2 (en)2013-11-072019-01-29Novellus Systems, Inc.Soft landing nanolaminates for advanced patterning
US20150315705A1 (en)*2014-05-012015-11-05Tokyo Electron LimitedFilm forming method and film forming apparatus
US9551068B2 (en)*2014-05-012017-01-24Tokyo Electron LimitedFilm forming method and film forming apparatus
CN105039929A (en)*2014-05-012015-11-11东京毅力科创株式会社Film forming method and film forming apparatus
TWI611042B (en)*2014-05-012018-01-11東京威力科創股份有限公司Film forming method and film forming apparatus
CN105390369A (en)*2014-08-202016-03-09朗姆研究公司 A Method for Tuning TiOx Stoichiometry Using Atomic Layer Deposited Ti Films
US10072335B2 (en)*2014-08-292018-09-11University Of Maryland, College ParkMethod of coating of object
US20160060758A1 (en)*2014-08-292016-03-03University Of Maryland, College ParkProtective coated object and method of coating an object
US11081577B2 (en)*2014-10-172021-08-03Industry-University Cooperation Foundation Hanyang University Erica CampusElectronic device including two-dimensional electron gas and method of fabricating the same
US20190067440A1 (en)*2017-08-292019-02-28Indian Institute Of ScienceEnhancement mode high electron mobility transistor (hemt)
US10840348B2 (en)*2017-08-292020-11-17Indian Institute Of ScienceEnhancement mode high electron mobility transistor (HEMT)
CN112969816A (en)*2018-10-042021-06-15弗萨姆材料美国有限责任公司Compositions for high temperature atomic layer deposition of high quality silicon oxide films
US12421603B2 (en)2018-10-042025-09-23Versum Materials Us, LlcComposition for high temperature atomic layer deposition of high quality silicon oxide thin films
US12108677B2 (en)2020-09-082024-10-01Industry-University Cooperation Foundation Hanyang University Erica CampusThermoelectric composite, preparation method therefor, and thermoelectric device and semiconductor device each comprising thermoelectric composite
CN113013250A (en)*2021-02-242021-06-22北京大学Field effect transistor and preparation method thereof
WO2025052363A1 (en)*2023-09-062025-03-13Indian Institute Of ScienceMethod for high threshold voltage and high breakdown gate stack in p-gan gate e-mode hemts

Also Published As

Publication numberPublication date
WO2006026716A1 (en)2006-03-09
US7588988B2 (en)2009-09-15
TW200629408A (en)2006-08-16
US8154066B2 (en)2012-04-10
US20070090441A1 (en)2007-04-26
US20120196448A1 (en)2012-08-02
US8541276B2 (en)2013-09-24
TWI312542B (en)2009-07-21

Similar Documents

PublicationPublication DateTitle
US7588988B2 (en)Method of forming apparatus having oxide films formed using atomic layer deposition
US7727905B2 (en)Zirconium-doped tantalum oxide films
US8237216B2 (en)Apparatus having a lanthanum-metal oxide semiconductor device
US8524618B2 (en)Hafnium tantalum oxide dielectrics
US8907486B2 (en)Ruthenium for a dielectric containing a lanthanide
US7411237B2 (en)Lanthanum hafnium oxide dielectrics
US7510983B2 (en)Iridium/zirconium oxide structure
US7989285B2 (en)Method of forming a film containing dysprosium oxide and hafnium oxide using atomic layer deposition
US7687409B2 (en)Atomic layer deposited titanium silicon oxide films

Legal Events

DateCodeTitleDescription
ASAssignment

Owner name:MICRON TECHNOLOGY INC., IDAHO

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AHN, KIE Y.;FORBES, LEONARD;REEL/FRAME:015765/0364;SIGNING DATES FROM 20040826 TO 20040827

FEPPFee payment procedure

Free format text:PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCFInformation on status: patent grant

Free format text:PATENTED CASE

CCCertificate of correction
FPAYFee payment

Year of fee payment:4

ASAssignment

Owner name:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text:SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date:20160426

Owner name:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text:SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date:20160426

ASAssignment

Owner name:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text:PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date:20160426

Owner name:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text:PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date:20160426

FPAYFee payment

Year of fee payment:8

ASAssignment

Owner name:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text:CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date:20160426

Owner name:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text:CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date:20160426

ASAssignment

Owner name:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS

Free format text:SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date:20180703

Owner name:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL

Free format text:SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date:20180703

ASAssignment

Owner name:MICRON TECHNOLOGY, INC., IDAHO

Free format text:RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date:20180629

ASAssignment

Owner name:MICRON TECHNOLOGY, INC., IDAHO

Free format text:RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date:20190731

ASAssignment

Owner name:MICRON TECHNOLOGY, INC., IDAHO

Free format text:RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date:20190731

Owner name:MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO

Free format text:RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date:20190731

MAFPMaintenance fee payment

Free format text:PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment:12


[8]ページ先頭

©2009-2025 Movatter.jp