






| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US10/931,533US7588988B2 (en) | 2004-08-31 | 2004-08-31 | Method of forming apparatus having oxide films formed using atomic layer deposition |
| TW094129428ATWI312542B (en) | 2004-08-31 | 2005-08-29 | Atomic layer deposited titanium aluminum oxide films |
| PCT/US2005/031159WO2006026716A1 (en) | 2004-08-31 | 2005-08-30 | Atomic layer deposited titanium aluminum oxide films |
| US11/566,042US8154066B2 (en) | 2004-08-31 | 2006-12-01 | Titanium aluminum oxide films |
| US13/442,140US8541276B2 (en) | 2004-08-31 | 2012-04-09 | Methods of forming an insulating metal oxide |
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US10/931,533US7588988B2 (en) | 2004-08-31 | 2004-08-31 | Method of forming apparatus having oxide films formed using atomic layer deposition |
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US11/566,042DivisionUS8154066B2 (en) | 2004-08-31 | 2006-12-01 | Titanium aluminum oxide films |
| Publication Number | Publication Date |
|---|---|
| US20060043504A1true US20060043504A1 (en) | 2006-03-02 |
| US7588988B2 US7588988B2 (en) | 2009-09-15 |
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US10/931,533Expired - LifetimeUS7588988B2 (en) | 2004-08-31 | 2004-08-31 | Method of forming apparatus having oxide films formed using atomic layer deposition |
| US11/566,042Expired - LifetimeUS8154066B2 (en) | 2004-08-31 | 2006-12-01 | Titanium aluminum oxide films |
| US13/442,140Expired - LifetimeUS8541276B2 (en) | 2004-08-31 | 2012-04-09 | Methods of forming an insulating metal oxide |
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US11/566,042Expired - LifetimeUS8154066B2 (en) | 2004-08-31 | 2006-12-01 | Titanium aluminum oxide films |
| US13/442,140Expired - LifetimeUS8541276B2 (en) | 2004-08-31 | 2012-04-09 | Methods of forming an insulating metal oxide |
| Country | Link |
|---|---|
| US (3) | US7588988B2 (en) |
| TW (1) | TWI312542B (en) |
| WO (1) | WO2006026716A1 (en) |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US20030228747A1 (en)* | 2002-06-05 | 2003-12-11 | Micron Technology, Inc. | Pr2O3-based la-oxide gate dielectrics |
| US20040164365A1 (en)* | 2002-08-15 | 2004-08-26 | Micron Technology, Inc. | Lanthanide doped TiOx dielectric films |
| US20050023625A1 (en)* | 2002-08-28 | 2005-02-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films |
| US20050032292A1 (en)* | 2001-08-30 | 2005-02-10 | Micron Technology, Inc. | Crystalline or amorphous medium-K gate oxides, Y2O3 and Gd2O3 |
| US20050034662A1 (en)* | 2001-03-01 | 2005-02-17 | Micro Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
| US20050054165A1 (en)* | 2003-03-31 | 2005-03-10 | Micron Technology, Inc. | Atomic layer deposited ZrAlxOy dielectric layers |
| US20050124174A1 (en)* | 2002-08-15 | 2005-06-09 | Micron Technology, Inc. | Lanthanide doped TiOx dielectric films by plasma oxidation |
| US20060024975A1 (en)* | 2004-08-02 | 2006-02-02 | Micron Technology, Inc. | Atomic layer deposition of zirconium-doped tantalum oxide films |
| US20060094191A1 (en)* | 2004-10-28 | 2006-05-04 | Choi Han-Mei | Methods of manufacturing a semiconductor device including a dielectric layer including zirconium |
| US20060151822A1 (en)* | 2005-01-07 | 2006-07-13 | Shrinivas Govindarajan | DRAM with high K dielectric storage capacitor and method of making the same |
| US20060151845A1 (en)* | 2005-01-07 | 2006-07-13 | Shrinivas Govindarajan | Method to control interfacial properties for capacitors using a metal flash layer |
| US20060177975A1 (en)* | 2005-02-10 | 2006-08-10 | Micron Technology, Inc. | Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics |
| US20060228868A1 (en)* | 2005-03-29 | 2006-10-12 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
| US7135421B2 (en) | 2002-06-05 | 2006-11-14 | Micron Technology, Inc. | Atomic layer-deposited hafnium aluminum oxide |
| US20060263972A1 (en)* | 2005-02-15 | 2006-11-23 | Micron Technology, Inc. | ATOMIC LAYER DEPOSITION OF Zr3N4/ZrO2 FILMS AS GATE DIELECTRICS |
| US20060281330A1 (en)* | 2005-06-14 | 2006-12-14 | Micron Technology, Inc. | Iridium / zirconium oxide structure |
| US7160577B2 (en) | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
| US20070040195A1 (en)* | 2005-08-19 | 2007-02-22 | The University Of Chicago | Monolithic integrated passive and active electronic devices with biocompatible coatings |
| US20070092989A1 (en)* | 2005-08-04 | 2007-04-26 | Micron Technology, Inc. | Conductive nanoparticles |
| US7235501B2 (en) | 2004-12-13 | 2007-06-26 | Micron Technology, Inc. | Lanthanum hafnium oxide dielectrics |
| US7259434B2 (en) | 2001-08-30 | 2007-08-21 | Micron Technology, Inc. | Highly reliable amorphous high-k gate oxide ZrO2 |
| US20070234949A1 (en)* | 2006-04-07 | 2007-10-11 | Micron Technology, Inc. | Atomic layer deposited titanium-doped indium oxide films |
| US7312494B2 (en) | 2003-06-24 | 2007-12-25 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectric layers |
| US20080032465A1 (en)* | 2006-08-03 | 2008-02-07 | Micron Technology, Inc. | Deposition of ZrAION films |
| US20080057659A1 (en)* | 2006-08-31 | 2008-03-06 | Micron Technology, Inc. | Hafnium aluminium oxynitride high-K dielectric and metal gates |
| US20080057690A1 (en)* | 2006-08-31 | 2008-03-06 | Micron Technology, Inc. | Tantalum silicon oxynitride high-k dielectrics and metal gates |
| US20080054330A1 (en)* | 2006-08-31 | 2008-03-06 | Micron Technology, Inc. | Tantalum lanthanide oxynitride films |
| US20080087945A1 (en)* | 2006-08-31 | 2008-04-17 | Micron Technology, Inc. | Silicon lanthanide oxynitride films |
| US20080096363A1 (en)* | 2005-01-07 | 2008-04-24 | Shrinivas Govindarajan | High Dielectric Constant Materials |
| US20080124908A1 (en)* | 2006-08-31 | 2008-05-29 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
| US20080121962A1 (en)* | 2006-08-31 | 2008-05-29 | Micron Technology, Inc. | Tantalum aluminum oxynitride high-k dielectric and metal gates |
| US7390756B2 (en) | 2005-04-28 | 2008-06-24 | Micron Technology, Inc. | Atomic layer deposited zirconium silicon oxide films |
| US20080166867A1 (en)* | 2003-03-25 | 2008-07-10 | Rohm Co., Ltd. | Semiconductor device, method of manufacturing the same, and method of manufacturing metal compound thin film |
| US7402876B2 (en) | 2002-12-04 | 2008-07-22 | Micron Technology, Inc. | Zr— Sn—Ti—O films |
| US7405454B2 (en) | 2003-03-04 | 2008-07-29 | Micron Technology, Inc. | Electronic apparatus with deposited dielectric layers |
| US7410910B2 (en) | 2005-08-31 | 2008-08-12 | Micron Technology, Inc. | Lanthanum aluminum oxynitride dielectric films |
| US20080224240A1 (en)* | 2005-08-29 | 2008-09-18 | Micron Technology, Inc. | ATOMIC LAYER DEPOSITION OF Zrx Hfy Sn1-x-y O2 FILMS AS HIGH k GATE DIELECTRICS |
| US7446368B2 (en) | 2001-08-30 | 2008-11-04 | Micron Technology, Inc. | Deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators |
| US20080296650A1 (en)* | 2007-06-04 | 2008-12-04 | Micron Technology, Inc. | High-k dielectrics with gold nano-particles |
| US7494939B2 (en) | 2004-08-31 | 2009-02-24 | Micron Technology, Inc. | Methods for forming a lanthanum-metal oxide dielectric layer |
| US20090173991A1 (en)* | 2005-08-04 | 2009-07-09 | Marsh Eugene P | Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps |
| US7560793B2 (en) | 2002-05-02 | 2009-07-14 | Micron Technology, Inc. | Atomic layer deposition and conversion |
| US7560395B2 (en) | 2005-01-05 | 2009-07-14 | Micron Technology, Inc. | Atomic layer deposited hafnium tantalum oxide dielectrics |
| US7563730B2 (en) | 2006-08-31 | 2009-07-21 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
| US7572695B2 (en) | 2005-05-27 | 2009-08-11 | Micron Technology, Inc. | Hafnium titanium oxide films |
| US7611959B2 (en) | 2002-12-04 | 2009-11-03 | Micron Technology, Inc. | Zr-Sn-Ti-O films |
| US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
| US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
| US7709402B2 (en) | 2006-02-16 | 2010-05-04 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride films |
| US7719065B2 (en) | 2004-08-26 | 2010-05-18 | Micron Technology, Inc. | Ruthenium layer for a dielectric layer containing a lanthanide oxide |
| US7869242B2 (en) | 1999-07-30 | 2011-01-11 | Micron Technology, Inc. | Transmission lines for CMOS integrated circuits |
| US20110048769A1 (en)* | 2009-09-01 | 2011-03-03 | Elpida Memory, Inc. | Insulating film, method of manufacturing the same, and semiconductor device |
| US7927948B2 (en) | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
| US7972974B2 (en) | 2006-01-10 | 2011-07-05 | Micron Technology, Inc. | Gallium lanthanide oxide films |
| US8110469B2 (en) | 2005-08-30 | 2012-02-07 | Micron Technology, Inc. | Graded dielectric layers |
| US20140327062A1 (en)* | 2013-05-03 | 2014-11-06 | Ki-yeon Park | Electronic devices including oxide dielectric and interface layers |
| US20150315705A1 (en)* | 2014-05-01 | 2015-11-05 | Tokyo Electron Limited | Film forming method and film forming apparatus |
| US20160060758A1 (en)* | 2014-08-29 | 2016-03-03 | University Of Maryland, College Park | Protective coated object and method of coating an object |
| CN105390369A (en)* | 2014-08-20 | 2016-03-09 | 朗姆研究公司 | A Method for Tuning TiOx Stoichiometry Using Atomic Layer Deposited Ti Films |
| US9290840B2 (en) | 2009-11-06 | 2016-03-22 | Beneq Oy | Method for forming an electrically conductive oxide film, an electrically conductive oxide film, and uses for the same |
| US10192742B2 (en) | 2013-11-07 | 2019-01-29 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
| US20190067440A1 (en)* | 2017-08-29 | 2019-02-28 | Indian Institute Of Science | Enhancement mode high electron mobility transistor (hemt) |
| CN112969816A (en)* | 2018-10-04 | 2021-06-15 | 弗萨姆材料美国有限责任公司 | Compositions for high temperature atomic layer deposition of high quality silicon oxide films |
| CN113013250A (en)* | 2021-02-24 | 2021-06-22 | 北京大学 | Field effect transistor and preparation method thereof |
| US11081577B2 (en)* | 2014-10-17 | 2021-08-03 | Industry-University Cooperation Foundation Hanyang University Erica Campus | Electronic device including two-dimensional electron gas and method of fabricating the same |
| US12108677B2 (en) | 2020-09-08 | 2024-10-01 | Industry-University Cooperation Foundation Hanyang University Erica Campus | Thermoelectric composite, preparation method therefor, and thermoelectric device and semiconductor device each comprising thermoelectric composite |
| WO2025052363A1 (en)* | 2023-09-06 | 2025-03-13 | Indian Institute Of Science | Method for high threshold voltage and high breakdown gate stack in p-gan gate e-mode hemts |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US8043089B2 (en) | 1999-04-23 | 2011-10-25 | 3M Innovative Properties Company | One piece dental implant and use thereof in prostodontic and orthodontic applications |
| US7084078B2 (en) | 2002-08-29 | 2006-08-01 | Micron Technology, Inc. | Atomic layer deposited lanthanide doped TiOx dielectric films |
| US7588988B2 (en)* | 2004-08-31 | 2009-09-15 | Micron Technology, Inc. | Method of forming apparatus having oxide films formed using atomic layer deposition |
| US7582549B2 (en) | 2006-08-25 | 2009-09-01 | Micron Technology, Inc. | Atomic layer deposited barium strontium titanium oxide films |
| US9159551B2 (en)* | 2009-07-02 | 2015-10-13 | Micron Technology, Inc. | Methods of forming capacitors |
| US8637123B2 (en)* | 2009-12-29 | 2014-01-28 | Lotus Applied Technology, Llc | Oxygen radical generation for radical-enhanced thin film deposition |
| US8288811B2 (en) | 2010-03-22 | 2012-10-16 | Micron Technology, Inc. | Fortification of charge-storing material in high-K dielectric environments and resulting apparatuses |
| US8735240B2 (en)* | 2012-04-25 | 2014-05-27 | Globalfoundries Inc. | CET and gate current leakage reduction in high-k metal gate electrode structures by heat treatment after diffusion layer removal |
| US8963228B2 (en)* | 2013-04-18 | 2015-02-24 | International Business Machines Corporation | Non-volatile memory device integrated with CMOS SOI FET on a single chip |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US5714336A (en)* | 1986-08-05 | 1998-02-03 | Hoechst Aktiengesellschaft | Process and test kit for determining free active compounds in biological fluids |
| US5879459A (en)* | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
| US6010969A (en)* | 1996-10-02 | 2000-01-04 | Micron Technology, Inc. | Method of depositing films on semiconductor devices by using carboxylate complexes |
| US6020243A (en)* | 1997-07-24 | 2000-02-01 | Texas Instruments Incorporated | Zirconium and/or hafnium silicon-oxynitride gate dielectric |
| US6020024A (en)* | 1997-08-04 | 2000-02-01 | Motorola, Inc. | Method for forming high dielectric constant metal oxides |
| US6025627A (en)* | 1998-05-29 | 2000-02-15 | Micron Technology, Inc. | Alternate method and structure for improved floating gate tunneling devices |
| US6027961A (en)* | 1998-06-30 | 2000-02-22 | Motorola, Inc. | CMOS semiconductor devices and method of formation |
| US6184612B1 (en)* | 1997-08-08 | 2001-02-06 | Pioneer Electronic Corporation | Electron emission device with electron supply layer of hydrogenated amorphous silicon |
| US6187484B1 (en)* | 1999-08-31 | 2001-02-13 | Micron Technology, Inc. | Irradiation mask |
| US6200893B1 (en)* | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
| US6203613B1 (en)* | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
| US6207589B1 (en)* | 1999-07-19 | 2001-03-27 | Sharp Laboratories Of America, Inc. | Method of forming a doped metal oxide dielectric film |
| US20020001971A1 (en)* | 2000-06-27 | 2002-01-03 | Hag-Ju Cho | Methods of manufacturing integrated circuit devices that include a metal oxide layer disposed on another layer to protect the other layer from diffusion of impurities and integrated circuit devices manufactured using same |
| US20020004277A1 (en)* | 2000-02-28 | 2002-01-10 | Micron Technology, Inc. | Structure and method for dual gate oxide thicknesses |
| US20020003403A1 (en)* | 2000-04-25 | 2002-01-10 | Ghosh Amalkumar P. | Thin film encapsulation of organic light emitting diode devices |
| US20020024108A1 (en)* | 2000-06-26 | 2002-02-28 | Gerald Lucovsky | Novel non-crystalline oxides for use in microelectronic, optical, and other applications |
| US20020025628A1 (en)* | 2000-08-31 | 2002-02-28 | Derderian Garo J. | Capacitor fabrication methods and capacitor constructions |
| US20020024080A1 (en)* | 2000-08-31 | 2002-02-28 | Derderian Garo J. | Capacitor fabrication methods and capacitor constructions |
| US20020028541A1 (en)* | 2000-08-14 | 2002-03-07 | Lee Thomas H. | Dense arrays and charge storage devices, and methods for making same |
| US6355561B1 (en)* | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
| US20030003635A1 (en)* | 2001-05-23 | 2003-01-02 | Paranjpe Ajit P. | Atomic layer deposition for fabricating thin films |
| US20030003730A1 (en)* | 2001-02-13 | 2003-01-02 | Micron Technology, Inc. | Sequential pulse deposition |
| US20030003702A1 (en)* | 2001-02-09 | 2003-01-02 | Micron Technology, Inc. | Formation of metal oxide gate dielectric |
| US20030001241A1 (en)* | 2000-01-18 | 2003-01-02 | Agere Systems Guardian Corp. | Semiconductor device and method of fabrication |
| US20030003722A1 (en)* | 1998-09-01 | 2003-01-02 | Micron Technology, Inc. | Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands |
| US6504214B1 (en)* | 2002-01-11 | 2003-01-07 | Advanced Micro Devices, Inc. | MOSFET device having high-K dielectric layer |
| US20030008243A1 (en)* | 2001-07-09 | 2003-01-09 | Micron Technology, Inc. | Copper electroless deposition technology for ULSI metalization |
| US6509280B2 (en)* | 2001-02-22 | 2003-01-21 | Samsung Electronics Co., Ltd. | Method for forming a dielectric layer of a semiconductor device |
| US20030017717A1 (en)* | 2001-07-18 | 2003-01-23 | Ahn Kie Y. | Methods for forming dielectric materials and methods for forming semiconductor devices |
| US20030020169A1 (en)* | 2001-07-24 | 2003-01-30 | Ahn Kie Y. | Copper technology for ULSI metallization |
| US6514828B2 (en)* | 2001-04-20 | 2003-02-04 | Micron Technology, Inc. | Method of fabricating a highly reliable gate oxide |
| US6521911B2 (en)* | 2000-07-20 | 2003-02-18 | North Carolina State University | High dielectric constant metal silicates formed by controlled metal-surface reactions |
| US20030040196A1 (en)* | 2001-08-27 | 2003-02-27 | Lim Jung Wook | Method of forming insulation layer in semiconductor devices for controlling the composition and the doping concentration |
| US20030042526A1 (en)* | 2001-08-29 | 2003-03-06 | Micron Technology, Inc. | Method of improved high K dielectric-polysilicon interface for CMOS devices |
| US6673701B1 (en)* | 2002-08-27 | 2004-01-06 | Micron Technology, Inc. | Atomic layer deposition methods |
| US20040004245A1 (en)* | 2002-07-08 | 2004-01-08 | Micron Technology, Inc. | Memory utilizing oxide-conductor nanolaminates |
| US20040004859A1 (en)* | 2002-07-08 | 2004-01-08 | Micron Technology, Inc. | Memory utilizing oxide nanolaminates |
| US20040004247A1 (en)* | 2002-07-08 | 2004-01-08 | Micron Technology, Inc. | Memory utilizing oxide-nitride nanolaminates |
| US20040004244A1 (en)* | 2001-03-15 | 2004-01-08 | Micron Technology, Inc. | Structures, methods, and systems for ferroelectric memory transistors |
| US6677250B2 (en)* | 2001-08-17 | 2004-01-13 | Micron Technology, Inc. | CVD apparatuses and methods of forming a layer over a semiconductor substrate |
| US20040007171A1 (en)* | 1999-10-14 | 2004-01-15 | Mikko Ritala | Method for growing thin oxide films |
| US20040009679A1 (en)* | 2001-01-19 | 2004-01-15 | Yeo Jae-Hyun | Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same |
| US20040009678A1 (en)* | 2002-02-28 | 2004-01-15 | Hitachi Kokusai Electric Inc. | Method for manufacturing semiconductor device |
| US20040013009A1 (en)* | 2002-04-04 | 2004-01-22 | Kabushiki Kaisha Toshiba | Semiconductor memory device having a gate electrode and a method of manufacturing thereof |
| US6683005B2 (en)* | 2001-08-30 | 2004-01-27 | Micron Technology, Inc. | Method of forming capacitor constructions |
| US6686212B1 (en)* | 2002-10-31 | 2004-02-03 | Sharp Laboratories Of America, Inc. | Method to deposit a stacked high-κ gate dielectric for CMOS applications |
| US20040023461A1 (en)* | 2002-07-30 | 2004-02-05 | Micron Technology, Inc. | Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics |
| US20040033701A1 (en)* | 2002-08-15 | 2004-02-19 | Micron Technology, Inc. | Lanthanide doped tiox dielectric films |
| US20040033661A1 (en)* | 2002-08-16 | 2004-02-19 | Yeo Jae-Hyun | Semiconductor device and method for manufacturing the same |
| US20040033681A1 (en)* | 2002-08-15 | 2004-02-19 | Micron Technology, Inc. | Lanthanide doped TiOx dielectric films by plasma oxidation |
| US6696332B2 (en)* | 2001-12-26 | 2004-02-24 | Texas Instruments Incorporated | Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing |
| US20040036129A1 (en)* | 2002-08-22 | 2004-02-26 | Micron Technology, Inc. | Atomic layer deposition of CMOS gates with variable work functions |
| US20040038525A1 (en)* | 2002-08-26 | 2004-02-26 | Shuang Meng | Enhanced atomic layer deposition |
| US20040038554A1 (en)* | 2002-08-21 | 2004-02-26 | Ahn Kie Y. | Composite dielectric forming methods and composite dielectrics |
| US6838404B2 (en)* | 2002-01-09 | 2005-01-04 | Board Of Trustees Of University Of Illinois | Metal alkoxides and methods of making same |
| US6844604B2 (en)* | 2001-02-02 | 2005-01-18 | Samsung Electronics Co., Ltd. | Dielectric layer for semiconductor device and method of manufacturing the same |
| US6844260B2 (en)* | 2003-01-30 | 2005-01-18 | Micron Technology, Inc. | Insitu post atomic layer deposition destruction of active species |
| US6844203B2 (en)* | 2001-08-30 | 2005-01-18 | Micron Technology, Inc. | Gate oxides, and methods of forming |
| US6844256B2 (en)* | 2002-03-13 | 2005-01-18 | Micron Technology, Inc. | High permeability composite films to reduce noise in high speed interconnects |
| US20050020017A1 (en)* | 2003-06-24 | 2005-01-27 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectric layers |
| US20050023626A1 (en)* | 2003-06-24 | 2005-02-03 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectrics |
| US20050023603A1 (en)* | 2001-08-30 | 2005-02-03 | Micron Technology, Inc. | Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators |
| US20050023625A1 (en)* | 2002-08-28 | 2005-02-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films |
| US20050023602A1 (en)* | 2001-08-30 | 2005-02-03 | Micron Technology, Inc. | Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers |
| US20050026349A1 (en)* | 2001-08-30 | 2005-02-03 | Micron Technology, Inc. | Flash memory with low tunnel barrier interpoly insulators |
| US20050023594A1 (en)* | 2002-06-05 | 2005-02-03 | Micron Technology, Inc. | Pr2O3-based la-oxide gate dielectrics |
| US20050023595A1 (en)* | 2001-08-30 | 2005-02-03 | Micron Technology, Inc. | Programmable array logic or memory devices with asymmetrical tunnel barriers |
| US20050023624A1 (en)* | 2002-06-05 | 2005-02-03 | Micron Technology, Inc. | Atomic layer-deposited HfAlO3 films for gate dielectrics |
| US6852645B2 (en)* | 2003-02-13 | 2005-02-08 | Texas Instruments Incorporated | High temperature interface layer growth for high-k gate dielectric |
| US20050029604A1 (en)* | 2002-12-04 | 2005-02-10 | Micron Technology, Inc. | Atomic layer deposited Zr-Sn-Ti-O films using TiI4 |
| US20050029605A1 (en)* | 2001-08-30 | 2005-02-10 | Micron Technology, Inc. | Highly reliable amorphous high-k gate oxide ZrO2 |
| US20050037563A1 (en)* | 2001-06-13 | 2005-02-17 | Ahn Kie Y. | Capacitor structures |
| US20050034662A1 (en)* | 2001-03-01 | 2005-02-17 | Micro Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
| US6858865B2 (en)* | 2001-02-23 | 2005-02-22 | Micron Technology, Inc. | Doped aluminum oxide dielectrics |
| US20060000412A1 (en)* | 2002-05-02 | 2006-01-05 | Micron Technology, Inc. | Systems and apparatus for atomic-layer deposition |
| US20060001151A1 (en)* | 2003-03-04 | 2006-01-05 | Micron Technology, Inc. | Atomic layer deposited dielectric layers |
| US6989573B2 (en)* | 2003-10-10 | 2006-01-24 | Micron Technology, Inc. | Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics |
| US6989565B1 (en)* | 2002-04-15 | 2006-01-24 | Lsi Logic Corporation | Memory device having an electron trapping layer in a high-K dielectric gate stack |
| US20060022252A1 (en)* | 2004-07-30 | 2006-02-02 | Samsung Electronics Co., Ltd. | Nonvolatile memory device and method of fabricating the same |
| US20060024975A1 (en)* | 2004-08-02 | 2006-02-02 | Micron Technology, Inc. | Atomic layer deposition of zirconium-doped tantalum oxide films |
| US7160817B2 (en)* | 2001-08-30 | 2007-01-09 | Micron Technology, Inc. | Dielectric material forming methods |
| US7166886B2 (en)* | 2001-08-30 | 2007-01-23 | Micron Technology, Inc. | DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators |
| US20080014689A1 (en)* | 2006-07-07 | 2008-01-17 | Texas Instruments Incorporated | Method for making planar nanowire surround gate mosfet |
| US20080042211A1 (en)* | 2006-08-18 | 2008-02-21 | Micron Technology, Inc. | Strained semiconductor channels and methods of formation |
| US20090032910A1 (en)* | 2004-12-13 | 2009-02-05 | Micron Technology, Inc. | Dielectric stack containing lanthanum and hafnium |
| US7494939B2 (en)* | 2004-08-31 | 2009-02-24 | Micron Technology, Inc. | Methods for forming a lanthanum-metal oxide dielectric layer |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| FI117944B (en) | 1999-10-15 | 2007-04-30 | Asm Int | Process for making transition metal nitride thin films |
| FI118158B (en) | 1999-10-15 | 2007-07-31 | Asm Int | Process for modifying the starting chemical in an ALD process |
| SE393967B (en) | 1974-11-29 | 1977-05-31 | Sateko Oy | PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE |
| FI57975C (en) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY |
| US4641313A (en) | 1984-06-15 | 1987-02-03 | Monash University | Room temperature metal vapour laser |
| US5070385A (en) | 1989-10-20 | 1991-12-03 | Radiant Technologies | Ferroelectric non-volatile variable resistive element |
| US6110529A (en) | 1990-07-06 | 2000-08-29 | Advanced Tech Materials | Method of forming metal films on a substrate by chemical vapor deposition |
| US5840897A (en) | 1990-07-06 | 1998-11-24 | Advanced Technology Materials, Inc. | Metal complex source reagents for chemical vapor deposition |
| US5192871A (en) | 1991-10-15 | 1993-03-09 | Motorola, Inc. | Voltage variable capacitor having amorphous dielectric film |
| EP0540993A1 (en) | 1991-11-06 | 1993-05-12 | Ramtron International Corporation | Structure and fabrication of high transconductance MOS field effect transistor using a buffer layer/ferroelectric/buffer layer stack as the gate dielectric |
| US5223001A (en) | 1991-11-21 | 1993-06-29 | Tokyo Electron Kabushiki Kaisha | Vacuum processing apparatus |
| US5304622A (en) | 1992-01-08 | 1994-04-19 | Nippon Oil Company, Ltd. | Process for producing polysilanes |
| JPH06175506A (en) | 1992-12-07 | 1994-06-24 | Minolta Camera Co Ltd | Image forming device |
| JPH06204494A (en) | 1993-01-07 | 1994-07-22 | Fujitsu Ltd | Formation of insulating film and manufacture of semiconductor element |
| JP3328389B2 (en) | 1993-09-14 | 2002-09-24 | 康夫 垂井 | Manufacturing method of ferroelectric thin film |
| US5625233A (en) | 1995-01-13 | 1997-04-29 | Ibm Corporation | Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide |
| US5749937A (en) | 1995-03-14 | 1998-05-12 | Lockheed Idaho Technologies Company | Fast quench reactor and method |
| US5783716A (en) | 1996-06-28 | 1998-07-21 | Advanced Technology Materials, Inc. | Platinum source compositions for chemical vapor deposition of platinum |
| US5714766A (en) | 1995-09-29 | 1998-02-03 | International Business Machines Corporation | Nano-structure memory device |
| US6224690B1 (en) | 1995-12-22 | 2001-05-01 | International Business Machines Corporation | Flip-Chip interconnections using lead-free solders |
| US6313035B1 (en) | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
| JPH104149A (en) | 1996-06-14 | 1998-01-06 | Oki Electric Ind Co Ltd | Semiconductor memory and its manufacture |
| US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
| US6020247A (en) | 1996-08-05 | 2000-02-01 | Texas Instruments Incorporated | Method for thin film deposition on single-crystal semiconductor substrates |
| US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
| US5923056A (en) | 1996-10-10 | 1999-07-13 | Lucent Technologies Inc. | Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials |
| US6174377B1 (en) | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
| US6075691A (en) | 1997-03-06 | 2000-06-13 | Lucent Technologies Inc. | Thin film capacitors and process for making them |
| US6034015A (en) | 1997-05-14 | 2000-03-07 | Georgia Tech Research Corporation | Ceramic compositions for microwave wireless communication |
| US6110544A (en) | 1997-06-26 | 2000-08-29 | General Electric Company | Protective coating by high rate arc plasma deposition |
| US7393561B2 (en)* | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
| US6440933B1 (en) | 1997-09-10 | 2002-08-27 | University Of Florida | Compounds and method for the prevention and treatment of diabetic retinopathy |
| US5912797A (en) | 1997-09-24 | 1999-06-15 | Lucent Technologies Inc. | Dielectric materials of amorphous compositions and devices employing same |
| JP3495889B2 (en) | 1997-10-03 | 2004-02-09 | シャープ株式会社 | Semiconductor storage element |
| US6333556B1 (en) | 1997-10-09 | 2001-12-25 | Micron Technology, Inc. | Insulating materials |
| US6858526B2 (en) | 1998-07-14 | 2005-02-22 | Micron Technology, Inc. | Methods of forming materials between conductive electrical components, and insulating materials |
| US6350704B1 (en) | 1997-10-14 | 2002-02-26 | Micron Technology Inc. | Porous silicon oxycarbide integrated circuit insulator |
| US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
| KR100268936B1 (en) | 1997-12-16 | 2000-10-16 | 김영환 | A method of forming for quantum dot of semiconductor device |
| KR100269328B1 (en) | 1997-12-31 | 2000-10-16 | 윤종용 | Method for forming conductive layer using atomic layer deposition process |
| US6150724A (en) | 1998-03-02 | 2000-11-21 | Motorola, Inc. | Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces |
| US6093944A (en) | 1998-06-04 | 2000-07-25 | Lucent Technologies Inc. | Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same |
| US6225168B1 (en) | 1998-06-04 | 2001-05-01 | Advanced Micro Devices, Inc. | Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof |
| US6093623A (en) | 1998-08-04 | 2000-07-25 | Micron Technology, Inc. | Methods for making silicon-on-insulator structures |
| US6125062A (en) | 1998-08-26 | 2000-09-26 | Micron Technology, Inc. | Single electron MOSFET memory device and method |
| US6063705A (en) | 1998-08-27 | 2000-05-16 | Micron Technology, Inc. | Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide |
| US6141260A (en) | 1998-08-27 | 2000-10-31 | Micron Technology, Inc. | Single electron resistor memory device and method for use thereof |
| US6284655B1 (en) | 1998-09-03 | 2001-09-04 | Micron Technology, Inc. | Method for producing low carbon/oxygen conductive layers |
| US6218293B1 (en) | 1998-11-13 | 2001-04-17 | Micron Technology, Inc. | Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride |
| US6433993B1 (en) | 1998-11-23 | 2002-08-13 | Microcoating Technologies, Inc. | Formation of thin film capacitors |
| US6207522B1 (en) | 1998-11-23 | 2001-03-27 | Microcoating Technologies | Formation of thin film capacitors |
| US6270835B1 (en) | 1999-10-07 | 2001-08-07 | Microcoating Technologies, Inc. | Formation of this film capacitors |
| US6210999B1 (en) | 1998-12-04 | 2001-04-03 | Advanced Micro Devices, Inc. | Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices |
| JP2000208508A (en) | 1999-01-13 | 2000-07-28 | Texas Instr Inc <Ti> | Vacuum deposition of silicate high dielectric constant materials |
| US6291341B1 (en) | 1999-02-12 | 2001-09-18 | Micron Technology, Inc. | Method for PECVD deposition of selected material films |
| US6383861B1 (en) | 1999-02-18 | 2002-05-07 | Micron Technology, Inc. | Method of fabricating a dual gate dielectric |
| KR100319884B1 (en) | 1999-04-12 | 2002-01-10 | 윤종용 | Capacitor of semiconductor device and method for fabricating the same |
| US6144552A (en) | 1999-04-26 | 2000-11-07 | Emc Corporation | Handheld computer system |
| US6713329B1 (en) | 1999-05-10 | 2004-03-30 | The Trustees Of Princeton University | Inverter made of complementary p and n channel transistors using a single directly-deposited microcrystalline silicon film |
| US6495878B1 (en) | 1999-08-02 | 2002-12-17 | Symetrix Corporation | Interlayer oxide containing thin films for high dielectric constant application |
| US6273951B1 (en) | 1999-06-16 | 2001-08-14 | Micron Technology, Inc. | Precursor mixtures for use in preparing layers on substrates |
| US6812157B1 (en) | 1999-06-24 | 2004-11-02 | Prasad Narhar Gadgil | Apparatus for atomic layer chemical vapor deposition |
| US6385739B1 (en) | 1999-07-19 | 2002-05-07 | Tivo Inc. | Self-test electronic assembly and test system |
| US6297539B1 (en) | 1999-07-19 | 2001-10-02 | Sharp Laboratories Of America, Inc. | Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same |
| US6709968B1 (en) | 2000-08-16 | 2004-03-23 | Micron Technology, Inc. | Microelectronic device with package with conductive elements and associated method of manufacture |
| US6670719B2 (en) | 1999-08-25 | 2003-12-30 | Micron Technology, Inc. | Microelectronic device package filled with liquid or pressurized gas and associated method of manufacture |
| US6498362B1 (en) | 1999-08-26 | 2002-12-24 | Micron Technology, Inc. | Weak ferroelectric transistor |
| US6337237B1 (en) | 1999-09-01 | 2002-01-08 | Micron Technology, Inc. | Capacitor processing method and DRAM processing method |
| US6398199B1 (en) | 1999-09-03 | 2002-06-04 | Barber Manufacturing Company, Inc. | Coil spring assembly |
| US6727169B1 (en) | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
| KR100304714B1 (en) | 1999-10-20 | 2001-11-02 | 윤종용 | Method for fabricating metal layer of semiconductor device using metal-halide gas |
| SG99871A1 (en) | 1999-10-25 | 2003-11-27 | Motorola Inc | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
| US6541079B1 (en) | 1999-10-25 | 2003-04-01 | International Business Machines Corporation | Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique |
| JP4397491B2 (en) | 1999-11-30 | 2010-01-13 | 財団法人国際科学振興財団 | Semiconductor device using silicon having 111 plane orientation on surface and method of forming the same |
| US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
| US6503330B1 (en) | 1999-12-22 | 2003-01-07 | Genus, Inc. | Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition |
| KR100313091B1 (en) | 1999-12-29 | 2001-11-07 | 박종섭 | Method of forming gate dielectric layer with TaON |
| KR100351450B1 (en) | 1999-12-30 | 2002-09-09 | 주식회사 하이닉스반도체 | Non-volatile memory device and method for fabricating the same |
| US6372618B2 (en) | 2000-01-06 | 2002-04-16 | Micron Technology, Inc. | Methods of forming semiconductor structures |
| WO2001050510A2 (en) | 2000-01-06 | 2001-07-12 | Applied Materials, Inc. | Low thermal budget metal oxide deposition for capacitor structures |
| US6417537B1 (en) | 2000-01-18 | 2002-07-09 | Micron Technology, Inc. | Metal oxynitride capacitor barrier layer |
| FI20000099A0 (en) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | A method for growing thin metal films |
| WO2001054200A1 (en) | 2000-01-19 | 2001-07-26 | North Carolina State University | Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors and methods of fabricating same |
| US6404027B1 (en) | 2000-02-07 | 2002-06-11 | Agere Systems Guardian Corp. | High dielectric constant gate oxides for silicon-based devices |
| US6527866B1 (en) | 2000-02-09 | 2003-03-04 | Conductus, Inc. | Apparatus and method for deposition of thin films |
| US6392257B1 (en) | 2000-02-10 | 2002-05-21 | Motorola Inc. | Semiconductor structure, semiconductor device, communicating device, integrated circuit, and process for fabricating the same |
| US6407435B1 (en) | 2000-02-11 | 2002-06-18 | Sharp Laboratories Of America, Inc. | Multilayer dielectric stack and method |
| US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
| DE10010821A1 (en) | 2000-02-29 | 2001-09-13 | Infineon Technologies Ag | Method for increasing the capacitance in a storage trench and trench capacitor with increased capacitance |
| JP5016767B2 (en) | 2000-03-07 | 2012-09-05 | エーエスエム インターナショナル エヌ.ヴェー. | Method for forming gradient thin film |
| US6380080B2 (en) | 2000-03-08 | 2002-04-30 | Micron Technology, Inc. | Methods for preparing ruthenium metal films |
| US6500499B1 (en) | 2000-03-10 | 2002-12-31 | Air Products And Chemicals, Inc. | Deposition and annealing of multicomponent ZrSnTi and HfSnTi oxide thin films using solventless liquid mixture of precursors |
| JP2001257344A (en) | 2000-03-10 | 2001-09-21 | Toshiba Corp | Semiconductor device and method of manufacturing semiconductor device |
| US6537613B1 (en) | 2000-04-10 | 2003-03-25 | Air Products And Chemicals, Inc. | Process for metal metalloid oxides and nitrides with compositional gradients |
| FI117979B (en) | 2000-04-14 | 2007-05-15 | Asm Int | Process for making oxide thin films |
| US20010052752A1 (en) | 2000-04-25 | 2001-12-20 | Ghosh Amalkumar P. | Thin film encapsulation of organic light emitting diode devices |
| US20020195056A1 (en) | 2000-05-12 | 2002-12-26 | Gurtej Sandhu | Versatile atomic layer deposition apparatus |
| JP5173101B2 (en) | 2000-05-15 | 2013-03-27 | エイエスエム インターナショナル エヌ.ヴェー. | Integrated circuit manufacturing method |
| US6573160B2 (en) | 2000-05-26 | 2003-06-03 | Motorola, Inc. | Method of recrystallizing an amorphous region of a semiconductor |
| US6444512B1 (en) | 2000-06-12 | 2002-09-03 | Motorola, Inc. | Dual metal gate transistors for CMOS process |
| US6313486B1 (en) | 2000-06-15 | 2001-11-06 | Board Of Regents, The University Of Texas System | Floating gate transistor having buried strained silicon germanium channel layer |
| US6444592B1 (en) | 2000-06-20 | 2002-09-03 | International Business Machines Corporation | Interfacial oxidation process for high-k gate dielectric process integration |
| US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
| US6592942B1 (en) | 2000-07-07 | 2003-07-15 | Asm International N.V. | Method for vapour deposition of a film onto a substrate |
| DE10034003A1 (en) | 2000-07-07 | 2002-01-24 | Infineon Technologies Ag | Trench capacitor with insulation collar and corresponding manufacturing process |
| US6458416B1 (en) | 2000-07-19 | 2002-10-01 | Micron Technology, Inc. | Deposition methods |
| EP1308992A4 (en)* | 2000-08-11 | 2006-01-18 | Tokyo Electron Ltd | Device and method for processing substrate |
| US7094690B1 (en) | 2000-08-31 | 2006-08-22 | Micron Technology, Inc. | Deposition methods and apparatuses providing surface activation |
| US7112503B1 (en) | 2000-08-31 | 2006-09-26 | Micron Technology, Inc. | Enhanced surface area capacitor fabrication methods |
| US6541353B1 (en) | 2000-08-31 | 2003-04-01 | Micron Technology, Inc. | Atomic layer doping apparatus and method |
| US20020072164A1 (en) | 2000-09-13 | 2002-06-13 | Applied Materials, Inc. | Processing chamber with multi-layer brazed lid |
| TW448318B (en) | 2000-09-18 | 2001-08-01 | Nat Science Council | Erbium, Yttrium co-doped Titanium oxide thin film material for planar optical waveguide amplifier |
| US6300203B1 (en) | 2000-10-05 | 2001-10-09 | Advanced Micro Devices, Inc. | Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors |
| US6465334B1 (en) | 2000-10-05 | 2002-10-15 | Advanced Micro Devices, Inc. | Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors |
| US6660660B2 (en) | 2000-10-10 | 2003-12-09 | Asm International, Nv. | Methods for making a dielectric stack in an integrated circuit |
| US6395650B1 (en) | 2000-10-23 | 2002-05-28 | International Business Machines Corporation | Methods for forming metal oxide layers with enhanced purity |
| US20020083464A1 (en) | 2000-11-07 | 2002-06-27 | Mai-Ian Tomsen | System and method for unprompted, context-sensitive querying during a televison broadcast |
| US6534357B1 (en) | 2000-11-09 | 2003-03-18 | Micron Technology, Inc. | Methods for forming conductive structures and structures regarding same |
| US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
| KR100385947B1 (en) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | Method of forming thin film by atomic layer deposition |
| KR20020056260A (en) | 2000-12-29 | 2002-07-10 | 박종섭 | Method for forming metal gate of semiconductor devoie |
| US7112543B2 (en) | 2001-01-04 | 2006-09-26 | Micron Technology, Inc. | Methods of forming assemblies comprising silicon-doped aluminum oxide |
| US20020089023A1 (en) | 2001-01-05 | 2002-07-11 | Motorola, Inc. | Low leakage current metal oxide-nitrides and method of fabricating same |
| US20020089063A1 (en) | 2001-01-08 | 2002-07-11 | Ahn Kie Y. | Copper dual damascene interconnect technology |
| US6346477B1 (en) | 2001-01-09 | 2002-02-12 | Research Foundation Of Suny - New York | Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt |
| KR100385952B1 (en) | 2001-01-19 | 2003-06-02 | 삼성전자주식회사 | A semiconductor capacitor having tantalum oxide as dielctric film and formation method thereof |
| US6713846B1 (en) | 2001-01-26 | 2004-03-30 | Aviza Technology, Inc. | Multilayer high κ dielectric films |
| US7371633B2 (en) | 2001-02-02 | 2008-05-13 | Samsung Electronics Co., Ltd. | Dielectric layer for semiconductor device and method of manufacturing the same |
| US6566147B2 (en) | 2001-02-02 | 2003-05-20 | Micron Technology, Inc. | Method for controlling deposition of dielectric films |
| US6528374B2 (en) | 2001-02-05 | 2003-03-04 | International Business Machines Corporation | Method for forming dielectric stack without interfacial layer |
| US6566682B2 (en) | 2001-02-09 | 2003-05-20 | Micron Technology, Inc. | Programmable memory address and decode circuits with ultra thin vertical body transistors |
| JP3732098B2 (en) | 2001-02-19 | 2006-01-05 | 株式会社ルネサステクノロジ | Semiconductor device |
| JP5016164B2 (en) | 2001-02-22 | 2012-09-05 | シャープ株式会社 | MEMORY FILM, MANUFACTURING METHOD THEREOF, MEMORY ELEMENT, SEMICONDUCTOR MEMORY DEVICE, SEMICONDUCTOR INTEGRATED CIRCUIT, AND PORTABLE ELECTRONIC DEVICE |
| US6706608B2 (en)* | 2001-02-28 | 2004-03-16 | Micron Technology, Inc. | Memory cell capacitors having an over/under configuration |
| US20040012698A1 (en) | 2001-03-05 | 2004-01-22 | Yasuo Suda | Image pickup model and image pickup device |
| FI109770B (en) | 2001-03-16 | 2002-10-15 | Asm Microchemistry Oy | Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material |
| US6770923B2 (en) | 2001-03-20 | 2004-08-03 | Freescale Semiconductor, Inc. | High K dielectric film |
| WO2002090614A1 (en)* | 2001-03-20 | 2002-11-14 | Mattson Technology, Inc. | Method for depositing a coating having a relatively high dielectric constant onto a substrate |
| US6541280B2 (en) | 2001-03-20 | 2003-04-01 | Motorola, Inc. | High K dielectric film |
| US6602720B2 (en) | 2001-03-28 | 2003-08-05 | Sharp Laboratories Of America, Inc. | Single transistor ferroelectric transistor structure with high-K insulator and method of fabricating same |
| US6441417B1 (en) | 2001-03-28 | 2002-08-27 | Sharp Laboratories Of America, Inc. | Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same |
| US6531324B2 (en) | 2001-03-28 | 2003-03-11 | Sharp Laboratories Of America, Inc. | MFOS memory transistor & method of fabricating same |
| JP3792589B2 (en) | 2001-03-29 | 2006-07-05 | 富士通株式会社 | Manufacturing method of semiconductor device |
| US6461949B1 (en) | 2001-03-29 | 2002-10-08 | Macronix International Co. Ltd. | Method for fabricating a nitride read-only-memory (NROM) |
| US6448192B1 (en) | 2001-04-16 | 2002-09-10 | Motorola, Inc. | Method for forming a high dielectric constant material |
| EP1251530A3 (en) | 2001-04-16 | 2004-12-29 | Shipley Company LLC | Dielectric laminate for a capacitor |
| JP4025030B2 (en) | 2001-04-17 | 2007-12-19 | 東京エレクトロン株式会社 | Substrate processing apparatus and transfer arm |
| US6465853B1 (en) | 2001-05-08 | 2002-10-15 | Motorola, Inc. | Method for making semiconductor device |
| US20020167089A1 (en) | 2001-05-14 | 2002-11-14 | Micron Technology, Inc. | Copper dual damascene interconnect technology |
| US6441421B1 (en) | 2001-05-17 | 2002-08-27 | International Business Machines Corporation | High dielectric constant materials forming components of DRAM storage cells |
| KR100426219B1 (en) | 2001-05-18 | 2004-04-06 | 홍국선 | Dielectric Ceramic Compositions and Manufacturing Method of Multilayer components thereof |
| US6852194B2 (en) | 2001-05-21 | 2005-02-08 | Tokyo Electron Limited | Processing apparatus, transferring apparatus and transferring method |
| KR100363332B1 (en) | 2001-05-23 | 2002-12-05 | Samsung Electronics Co Ltd | Method for forming semiconductor device having gate all-around type transistor |
| JP3863391B2 (en) | 2001-06-13 | 2006-12-27 | Necエレクトロニクス株式会社 | Semiconductor device |
| US6511873B2 (en) | 2001-06-15 | 2003-01-28 | International Business Machines Corporation | High-dielectric constant insulators for FEOL capacitors |
| US6709989B2 (en) | 2001-06-21 | 2004-03-23 | Motorola, Inc. | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
| US6816225B2 (en) | 2001-06-26 | 2004-11-09 | International Business Machines Corporation | LCD cell construction by mechanical thinning of a color filter substrate |
| US6420279B1 (en) | 2001-06-28 | 2002-07-16 | Sharp Laboratories Of America, Inc. | Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate |
| JP4921652B2 (en) | 2001-08-03 | 2012-04-25 | エイエスエム インターナショナル エヌ.ヴェー. | Method for depositing yttrium oxide and lanthanum oxide thin films |
| JP4666912B2 (en) | 2001-08-06 | 2011-04-06 | エー・エス・エムジニテックコリア株式会社 | Plasma reinforced atomic layer deposition apparatus and thin film forming method using the same |
| KR100416602B1 (en) | 2001-08-08 | 2004-02-05 | 삼성전자주식회사 | Method of forming stacked capacitor |
| US6563160B2 (en) | 2001-08-09 | 2003-05-13 | International Business Machines Corporation | High dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits |
| KR100427030B1 (en) | 2001-08-27 | 2004-04-14 | 주식회사 하이닉스반도체 | Method for forming film with muli-elements and fabricating capacitor using the same |
| US6461914B1 (en) | 2001-08-29 | 2002-10-08 | Motorola, Inc. | Process for making a MIM capacitor |
| US6778441B2 (en) | 2001-08-30 | 2004-08-17 | Micron Technology, Inc. | Integrated circuit memory device and method |
| US7135734B2 (en) | 2001-08-30 | 2006-11-14 | Micron Technology, Inc. | Graded composition metal oxide tunnel barrier interpoly insulators |
| US6730575B2 (en) | 2001-08-30 | 2004-05-04 | Micron Technology, Inc. | Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure |
| US6586797B2 (en) | 2001-08-30 | 2003-07-01 | Micron Technology, Inc. | Graded composition gate insulators to reduce tunneling barriers in flash memory devices |
| US7012297B2 (en) | 2001-08-30 | 2006-03-14 | Micron Technology, Inc. | Scalable flash/NV structures and devices with extended endurance |
| US6963103B2 (en) | 2001-08-30 | 2005-11-08 | Micron Technology, Inc. | SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators |
| US7075829B2 (en) | 2001-08-30 | 2006-07-11 | Micron Technology, Inc. | Programmable memory address and decode circuits with low tunnel barrier interpoly insulators |
| US7087954B2 (en) | 2001-08-30 | 2006-08-08 | Micron Technology, Inc. | In service programmable logic arrays with low tunnel barrier interpoly insulators |
| US6806145B2 (en) | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
| JP4938962B2 (en)* | 2001-09-14 | 2012-05-23 | エーエスエム インターナショナル エヌ.ヴェー. | Metal nitride deposition by ALD using gettering reactant |
| KR100408743B1 (en) | 2001-09-21 | 2003-12-11 | 삼성전자주식회사 | Method of forming a quantum dot and method of forming a gate electrode using the same |
| US20030059535A1 (en) | 2001-09-25 | 2003-03-27 | Lee Luo | Cycling deposition of low temperature films in a cold wall single wafer process chamber |
| US6605549B2 (en) | 2001-09-29 | 2003-08-12 | Intel Corporation | Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics |
| US6720259B2 (en) | 2001-10-02 | 2004-04-13 | Genus, Inc. | Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition |
| US6960537B2 (en) | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
| US7524528B2 (en) | 2001-10-05 | 2009-04-28 | Cabot Corporation | Precursor compositions and methods for the deposition of passive electrical components on a substrate |
| US6562491B1 (en) | 2001-10-15 | 2003-05-13 | Advanced Micro Devices, Inc. | Preparation of composite high-K dielectrics |
| US6559014B1 (en) | 2001-10-15 | 2003-05-06 | Advanced Micro Devices, Inc. | Preparation of composite high-K / standard-K dielectrics for semiconductor devices |
| US7025894B2 (en) | 2001-10-16 | 2006-04-11 | Hewlett-Packard Development Company, L.P. | Fluid-ejection devices and a deposition method for layers thereof |
| US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
| US6514808B1 (en) | 2001-11-30 | 2003-02-04 | Motorola, Inc. | Transistor having a high K dielectric and short gate length and method therefor |
| US6773507B2 (en) | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
| US6900122B2 (en) | 2001-12-20 | 2005-05-31 | Micron Technology, Inc. | Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics |
| US6953730B2 (en) | 2001-12-20 | 2005-10-11 | Micron Technology, Inc. | Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics |
| US6790755B2 (en) | 2001-12-27 | 2004-09-14 | Advanced Micro Devices, Inc. | Preparation of stack high-K gate dielectrics with nitrided layer |
| US6828161B2 (en) | 2001-12-31 | 2004-12-07 | Texas Instruments Incorporated | Method of forming an FeRAM having a multi-layer hard mask and patterning thereof |
| US6674138B1 (en) | 2001-12-31 | 2004-01-06 | Advanced Micro Devices, Inc. | Use of high-k dielectric materials in modified ONO structure for semiconductor devices |
| US6767750B2 (en) | 2001-12-31 | 2004-07-27 | Texas Instruments Incorporated | Detection of AIOx ears for process control in FeRAM processing |
| FR2834387B1 (en) | 2001-12-31 | 2004-02-27 | Memscap | ELECTRONIC COMPONENT INCORPORATING AN INTEGRATED CIRCUIT AND A MICRO-CAPACITOR |
| US6821873B2 (en) | 2002-01-10 | 2004-11-23 | Texas Instruments Incorporated | Anneal sequence for high-κ film property optimization |
| US6528858B1 (en) | 2002-01-11 | 2003-03-04 | Advanced Micro Devices, Inc. | MOSFETs with differing gate dielectrics and method of formation |
| US6767795B2 (en) | 2002-01-17 | 2004-07-27 | Micron Technology, Inc. | Highly reliable amorphous high-k gate dielectric ZrOXNY |
| US6645882B1 (en) | 2002-01-17 | 2003-11-11 | Advanced Micro Devices, Inc. | Preparation of composite high-K/standard-K dielectrics for semiconductor devices |
| US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
| US6906256B1 (en) | 2002-01-22 | 2005-06-14 | Nanoset, Llc | Nanomagnetic shielding assembly |
| US20030141560A1 (en) | 2002-01-25 | 2003-07-31 | Shi-Chung Sun | Incorporating TCS-SiN barrier layer in dual gate CMOS devices |
| US7101770B2 (en) | 2002-01-30 | 2006-09-05 | Micron Technology, Inc. | Capacitive techniques to reduce noise in high speed interconnections |
| JP4010819B2 (en) | 2002-02-04 | 2007-11-21 | Necエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
| US6784480B2 (en) | 2002-02-12 | 2004-08-31 | Micron Technology, Inc. | Asymmetric band-gap engineered nonvolatile memory device |
| US6893984B2 (en) | 2002-02-20 | 2005-05-17 | Micron Technology Inc. | Evaporated LaA1O3 films for gate dielectrics |
| US6586349B1 (en) | 2002-02-21 | 2003-07-01 | Advanced Micro Devices, Inc. | Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices |
| US6900481B2 (en) | 2002-02-21 | 2005-05-31 | Intel Corporation | Non-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors |
| US6452229B1 (en) | 2002-02-21 | 2002-09-17 | Advanced Micro Devices, Inc. | Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication |
| US6787185B2 (en) | 2002-02-25 | 2004-09-07 | Micron Technology, Inc. | Deposition methods for improved delivery of metastable species |
| US6451641B1 (en) | 2002-02-27 | 2002-09-17 | Advanced Micro Devices, Inc. | Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material |
| US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
| US6730367B2 (en) | 2002-03-05 | 2004-05-04 | Micron Technology, Inc. | Atomic layer deposition method with point of use generated reactive gas species |
| US20030170450A1 (en) | 2002-03-05 | 2003-09-11 | Stewart Steven L. | Attachment of surface mount devices to printed circuit boards using a thermoplastic adhesive |
| US6900106B2 (en) | 2002-03-06 | 2005-05-31 | Micron Technology, Inc. | Methods of forming capacitor constructions |
| US6893506B2 (en) | 2002-03-11 | 2005-05-17 | Micron Technology, Inc. | Atomic layer deposition apparatus and method |
| US7220312B2 (en) | 2002-03-13 | 2007-05-22 | Micron Technology, Inc. | Methods for treating semiconductor substrates |
| US6642573B1 (en) | 2002-03-13 | 2003-11-04 | Advanced Micro Devices, Inc. | Use of high-K dielectric material in modified ONO structure for semiconductor devices |
| US6812100B2 (en) | 2002-03-13 | 2004-11-02 | Micron Technology, Inc. | Evaporation of Y-Si-O films for medium-k dielectrics |
| US6730163B2 (en) | 2002-03-14 | 2004-05-04 | Micron Technology, Inc. | Aluminum-containing material and atomic layer deposition methods |
| US6717226B2 (en) | 2002-03-15 | 2004-04-06 | Motorola, Inc. | Transistor with layered high-K gate dielectric and method therefor |
| JP4090347B2 (en) | 2002-03-18 | 2008-05-28 | 株式会社日立国際電気 | Semiconductor device manufacturing method and substrate processing apparatus |
| US20030179521A1 (en) | 2002-03-20 | 2003-09-25 | Lionel Girardie | Electronic microcomponent incorporating a capacitive structure and fabrication process |
| US6800134B2 (en) | 2002-03-26 | 2004-10-05 | Micron Technology, Inc. | Chemical vapor deposition methods and atomic layer deposition methods |
| JP4014431B2 (en) | 2002-03-27 | 2007-11-28 | 富士通株式会社 | Semiconductor memory device and manufacturing method of semiconductor memory device |
| JP3937892B2 (en) | 2002-04-01 | 2007-06-27 | 日本電気株式会社 | Thin film forming method and semiconductor device manufacturing method |
| KR100473113B1 (en)* | 2002-04-04 | 2005-03-08 | 삼성전자주식회사 | Method Of Fabricating A Capacitor Of Semiconductor Device |
| US6750066B1 (en) | 2002-04-08 | 2004-06-15 | Advanced Micro Devices, Inc. | Precision high-K intergate dielectric layer |
| US6660578B1 (en) | 2002-04-08 | 2003-12-09 | Advanced Micro Devices, Inc. | High-K dielectric having barrier layer for P-doped devices and method of fabrication |
| US6743736B2 (en) | 2002-04-11 | 2004-06-01 | Micron Technology, Inc. | Reactive gaseous deposition precursor feed apparatus |
| US20030235961A1 (en) | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
| US7374617B2 (en) | 2002-04-25 | 2008-05-20 | Micron Technology, Inc. | Atomic layer deposition methods and chemical vapor deposition methods |
| KR100472730B1 (en) | 2002-04-26 | 2005-03-08 | 주식회사 하이닉스반도체 | Method for fabricating metal electrode with Atomic Layer Deposition in semiconductor device |
| US7045430B2 (en) | 2002-05-02 | 2006-05-16 | Micron Technology Inc. | Atomic layer-deposited LaAlO3 films for gate dielectrics |
| US7589029B2 (en) | 2002-05-02 | 2009-09-15 | Micron Technology, Inc. | Atomic layer deposition and conversion |
| US20030211488A1 (en) | 2002-05-07 | 2003-11-13 | Northwestern University | Nanoparticle probs with Raman spectrocopic fingerprints for analyte detection |
| US6656764B1 (en) | 2002-05-15 | 2003-12-02 | Taiwan Semiconductor Manufacturing Company | Process for integration of a high dielectric constant gate insulator layer in a CMOS device |
| US7164165B2 (en) | 2002-05-16 | 2007-01-16 | Micron Technology, Inc. | MIS capacitor |
| US6784101B1 (en) | 2002-05-16 | 2004-08-31 | Advanced Micro Devices Inc | Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation |
| KR100451569B1 (en) | 2002-05-18 | 2004-10-08 | 주식회사 하이닉스반도체 | Method for fabricating semiconductor device having Hydrogen barrier |
| US6794281B2 (en) | 2002-05-20 | 2004-09-21 | Freescale Semiconductor, Inc. | Dual metal gate transistors for CMOS process |
| US7189992B2 (en)* | 2002-05-21 | 2007-03-13 | State Of Oregon Acting By And Through The Oregon State Board Of Higher Education On Behalf Of Oregon State University | Transistor structures having a transparent channel |
| US7067439B2 (en) | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
| KR100455297B1 (en) | 2002-06-19 | 2004-11-06 | 삼성전자주식회사 | Manufacturing method of inorganic nano tube |
| US7193893B2 (en) | 2002-06-21 | 2007-03-20 | Micron Technology, Inc. | Write once read only memory employing floating gates |
| US6888739B2 (en) | 2002-06-21 | 2005-05-03 | Micron Technology Inc. | Nanocrystal write once read only memory for archival storage |
| US7005697B2 (en) | 2002-06-21 | 2006-02-28 | Micron Technology, Inc. | Method of forming a non-volatile electron storage memory and the resulting device |
| US6804136B2 (en) | 2002-06-21 | 2004-10-12 | Micron Technology, Inc. | Write once read only memory employing charge trapping in insulators |
| US6617639B1 (en) | 2002-06-21 | 2003-09-09 | Advanced Micro Devices, Inc. | Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling |
| US6777363B2 (en) | 2002-07-05 | 2004-08-17 | Samsung Electro-Mechanics Co., Ltd. | Non-reducable, low temperature dielectric ceramic composition, capacitor and method of preparing |
| JP3790751B2 (en) | 2002-07-19 | 2006-06-28 | インターナショナル・ビジネス・マシーンズ・コーポレーション | Electronic device and field effect transistor device |
| US6753271B2 (en) | 2002-08-15 | 2004-06-22 | Micron Technology, Inc. | Atomic layer deposition methods |
| US6787421B2 (en) | 2002-08-15 | 2004-09-07 | Freescale Semiconductor, Inc. | Method for forming a dual gate oxide device using a metal oxide and resulting device |
| US6995081B2 (en) | 2002-08-28 | 2006-02-07 | Micron Technology, Inc. | Systems and methods for forming tantalum silicide layers |
| US7030042B2 (en) | 2002-08-28 | 2006-04-18 | Micron Technology, Inc. | Systems and methods for forming tantalum oxide layers and tantalum precursor compounds |
| US7253122B2 (en) | 2002-08-28 | 2007-08-07 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal diketonates and/or ketoimines |
| US6730164B2 (en) | 2002-08-28 | 2004-05-04 | Micron Technology, Inc. | Systems and methods for forming strontium- and/or barium-containing layers |
| US7112485B2 (en) | 2002-08-28 | 2006-09-26 | Micron Technology, Inc. | Systems and methods for forming zirconium and/or hafnium-containing layers |
| US8617312B2 (en) | 2002-08-28 | 2013-12-31 | Micron Technology, Inc. | Systems and methods for forming layers that contain niobium and/or tantalum |
| US6984592B2 (en) | 2002-08-28 | 2006-01-10 | Micron Technology, Inc. | Systems and methods for forming metal-doped alumina |
| US6958300B2 (en) | 2002-08-28 | 2005-10-25 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides |
| US6784049B2 (en) | 2002-08-28 | 2004-08-31 | Micron Technology, Inc. | Method for forming refractory metal oxide layers with tetramethyldisiloxane |
| US6967159B2 (en) | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
| US7087481B2 (en) | 2002-08-28 | 2006-08-08 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands |
| US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
| US7041609B2 (en) | 2002-08-28 | 2006-05-09 | Micron Technology, Inc. | Systems and methods for forming metal oxides using alcohols |
| US7084078B2 (en) | 2002-08-29 | 2006-08-01 | Micron Technology, Inc. | Atomic layer deposited lanthanide doped TiOx dielectric films |
| US6830983B2 (en) | 2002-08-29 | 2004-12-14 | Micron Technology, Inc. | Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide |
| US7122415B2 (en) | 2002-09-12 | 2006-10-17 | Promos Technologies, Inc. | Atomic layer deposition of interpoly oxides in a non-volatile memory device |
| KR100474072B1 (en) | 2002-09-17 | 2005-03-10 | 주식회사 하이닉스반도체 | Method for forming noble metal films |
| US6630383B1 (en) | 2002-09-23 | 2003-10-07 | Advanced Micro Devices, Inc. | Bi-layer floating gate for improved work function between floating gate and a high-K dielectric layer |
| JP3619240B2 (en) | 2002-09-26 | 2005-02-09 | キヤノン株式会社 | Method for manufacturing electron-emitting device and method for manufacturing display |
| US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
| US6770536B2 (en) | 2002-10-03 | 2004-08-03 | Agere Systems Inc. | Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate |
| US6887758B2 (en) | 2002-10-09 | 2005-05-03 | Freescale Semiconductor, Inc. | Non-volatile memory device and method for forming |
| JP2004158487A (en) | 2002-11-01 | 2004-06-03 | Matsushita Electric Ind Co Ltd | Method for manufacturing semiconductor device |
| US6982230B2 (en) | 2002-11-08 | 2006-01-03 | International Business Machines Corporation | Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures |
| US6885065B2 (en) | 2002-11-20 | 2005-04-26 | Freescale Semiconductor, Inc. | Ferromagnetic semiconductor structure and method for forming the same |
| US20040099889A1 (en) | 2002-11-27 | 2004-05-27 | Agere Systems, Inc. | Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate |
| US7122414B2 (en) | 2002-12-03 | 2006-10-17 | Asm International, Inc. | Method to fabricate dual metal CMOS devices |
| US6858524B2 (en) | 2002-12-03 | 2005-02-22 | Asm International, Nv | Method of depositing barrier layer for metal gates |
| US7045406B2 (en) | 2002-12-03 | 2006-05-16 | Asm International, N.V. | Method of forming an electrode with adjusted work function |
| US7101813B2 (en) | 2002-12-04 | 2006-09-05 | Micron Technology Inc. | Atomic layer deposited Zr-Sn-Ti-O films |
| JP2004188848A (en) | 2002-12-12 | 2004-07-08 | Konica Minolta Holdings Inc | Print plate material |
| JP4290421B2 (en) | 2002-12-27 | 2009-07-08 | Necエレクトロニクス株式会社 | Semiconductor device and manufacturing method thereof |
| US6762114B1 (en) | 2002-12-31 | 2004-07-13 | Texas Instruments Incorporated | Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness |
| US6750126B1 (en) | 2003-01-08 | 2004-06-15 | Texas Instruments Incorporated | Methods for sputter deposition of high-k dielectric films |
| US20040144980A1 (en) | 2003-01-27 | 2004-07-29 | Ahn Kie Y. | Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers |
| KR100541179B1 (en) | 2003-02-03 | 2006-01-11 | 삼성전자주식회사 | Dielectric film forming apparatus and method |
| US6863725B2 (en) | 2003-02-04 | 2005-03-08 | Micron Technology, Inc. | Method of forming a Ta2O5 comprising layer |
| US6884685B2 (en) | 2003-02-14 | 2005-04-26 | Freescale Semiconductors, Inc. | Radical oxidation and/or nitridation during metal oxide layer deposition process |
| JP4574951B2 (en) | 2003-02-26 | 2010-11-04 | 株式会社東芝 | Semiconductor device and manufacturing method thereof |
| US6930059B2 (en) | 2003-02-27 | 2005-08-16 | Sharp Laboratories Of America, Inc. | Method for depositing a nanolaminate film by atomic layer deposition |
| US20040168627A1 (en) | 2003-02-27 | 2004-09-02 | Sharp Laboratories Of America, Inc. | Atomic layer deposition of oxide film |
| TW584934B (en) | 2003-03-05 | 2004-04-21 | Au Optronics Corp | Method of forming a contact and structure thereof |
| US6780708B1 (en) | 2003-03-05 | 2004-08-24 | Advanced Micro Devices, Inc. | Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography |
| US7019351B2 (en) | 2003-03-12 | 2006-03-28 | Micron Technology, Inc. | Transistor devices, and methods of forming transistor devices and circuit devices |
| JP4907839B2 (en) | 2003-03-26 | 2012-04-04 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
| US7135369B2 (en) | 2003-03-31 | 2006-11-14 | Micron Technology, Inc. | Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9 |
| US20040198069A1 (en) | 2003-04-04 | 2004-10-07 | Applied Materials, Inc. | Method for hafnium nitride deposition |
| US7442415B2 (en) | 2003-04-11 | 2008-10-28 | Sharp Laboratories Of America, Inc. | Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films |
| US20050070126A1 (en) | 2003-04-21 | 2005-03-31 | Yoshihide Senzaki | System and method for forming multi-component dielectric films |
| US7183186B2 (en) | 2003-04-22 | 2007-02-27 | Micro Technology, Inc. | Atomic layer deposited ZrTiO4 films |
| KR100546324B1 (en) | 2003-04-22 | 2006-01-26 | 삼성전자주식회사 | Metal oxide thin film formation method by ALD, lanthanum oxide film formation method and high-k dielectric film formation method of semiconductor device |
| US7292766B2 (en) | 2003-04-28 | 2007-11-06 | 3M Innovative Properties Company | Use of glasses containing rare earth oxide, alumina, and zirconia and dopant in optical waveguides |
| US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
| KR100885910B1 (en) | 2003-04-30 | 2009-02-26 | 삼성전자주식회사 | Non-volatile semiconductor memory device having an OHA film in the gate stack and a manufacturing method thereof |
| US6740605B1 (en) | 2003-05-05 | 2004-05-25 | Advanced Micro Devices, Inc. | Process for reducing hydrogen contamination in dielectric materials in memory devices |
| KR100526463B1 (en) | 2003-05-07 | 2005-11-08 | 매그나칩 반도체 유한회사 | Method for manufacturing semiconductor device |
| US6970053B2 (en) | 2003-05-22 | 2005-11-29 | Micron Technology, Inc. | Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection |
| KR100555543B1 (en) | 2003-06-24 | 2006-03-03 | 삼성전자주식회사 | A method of forming a high dielectric film by atomic layer deposition and a method of manufacturing a capacitor having the high dielectric film |
| US7125815B2 (en) | 2003-07-07 | 2006-10-24 | Micron Technology, Inc. | Methods of forming a phosphorous doped silicon dioxide comprising layer |
| US6797572B1 (en) | 2003-07-11 | 2004-09-28 | Advanced Micro Devices, Inc. | Method for forming a field effect transistor having a high-k gate dielectric and related structure |
| US6872613B1 (en) | 2003-09-04 | 2005-03-29 | Advanced Micro Devices, Inc. | Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure |
| US7071066B2 (en)* | 2003-09-15 | 2006-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for forming high-k gates |
| US6958265B2 (en) | 2003-09-16 | 2005-10-25 | Freescale Semiconductor, Inc. | Semiconductor device with nanoclusters |
| US7141522B2 (en) | 2003-09-18 | 2006-11-28 | 3M Innovative Properties Company | Ceramics comprising Al2O3, Y2O3, ZrO2 and/or HfO2, and Nb2O5 and/or Ta2O5 and methods of making the same |
| US7064048B2 (en) | 2003-10-17 | 2006-06-20 | United Microelectronics Corp. | Method of forming a semi-insulating region |
| US7074719B2 (en) | 2003-11-28 | 2006-07-11 | International Business Machines Corporation | ALD deposition of ruthenium |
| US7115530B2 (en) | 2003-12-03 | 2006-10-03 | Texas Instruments Incorporated | Top surface roughness reduction of high-k dielectric materials using plasma based processes |
| US7157769B2 (en) | 2003-12-18 | 2007-01-02 | Micron Technology, Inc. | Flash memory having a high-permittivity tunnel dielectric |
| US7102875B2 (en) | 2003-12-29 | 2006-09-05 | Hynix Semiconductor Inc. | Capacitor with aluminum oxide and lanthanum oxide containing dielectric structure and fabrication method thereof |
| JP4485932B2 (en) | 2003-12-31 | 2010-06-23 | 東部エレクトロニクス株式会社 | Flash memory device and programming and erasing method using the same |
| US7154779B2 (en) | 2004-01-21 | 2006-12-26 | Sandisk Corporation | Non-volatile memory cell using high-k material inter-gate programming |
| US7018868B1 (en) | 2004-02-02 | 2006-03-28 | Advanced Micro Devices, Inc. | Disposable hard mask for memory bitline scaling |
| US7221018B2 (en) | 2004-02-10 | 2007-05-22 | Micron Technology, Inc. | NROM flash memory with a high-permittivity gate dielectric |
| US7595528B2 (en) | 2004-03-10 | 2009-09-29 | Nanosys, Inc. | Nano-enabled memory devices and anisotropic charge carrying arrays |
| US20050202659A1 (en) | 2004-03-12 | 2005-09-15 | Infineon Technologies North America Corp. | Ion implantation of high-k materials in semiconductor devices |
| US7312165B2 (en) | 2004-05-05 | 2007-12-25 | Jursich Gregory M | Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices |
| US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
| US7279413B2 (en) | 2004-06-16 | 2007-10-09 | International Business Machines Corporation | High-temperature stable gate structure with metallic electrode |
| US7091130B1 (en) | 2004-06-25 | 2006-08-15 | Freescale Semiconductor, Inc. | Method of forming a nanocluster charge storage device |
| US7323424B2 (en) | 2004-06-29 | 2008-01-29 | Micron Technology, Inc. | Semiconductor constructions comprising cerium oxide and titanium oxide |
| US7148548B2 (en) | 2004-07-20 | 2006-12-12 | Intel Corporation | Semiconductor device with a high-k gate dielectric and a metal gate electrode |
| KR100593659B1 (en) | 2004-07-21 | 2006-06-28 | 삼성전자주식회사 | Atomic layer deposition method, method of manufacturing gate structure using same and method of manufacturing capacitor |
| US7138681B2 (en) | 2004-07-27 | 2006-11-21 | Micron Technology, Inc. | High density stepped, non-planar nitride read only memory |
| US7164168B2 (en) | 2004-08-03 | 2007-01-16 | Micron Technology, Inc. | Non-planar flash memory having shielding between floating gates |
| US7151294B2 (en) | 2004-08-03 | 2006-12-19 | Micron Technology, Inc. | High density stepped, non-planar flash memory |
| US7388251B2 (en) | 2004-08-11 | 2008-06-17 | Micron Technology, Inc. | Non-planar flash memory array with shielded floating gates on silicon mesas |
| KR100611072B1 (en) | 2004-08-11 | 2006-08-10 | 삼성전자주식회사 | Atomic layer deposition method, method of manufacturing gate structure using same and method of manufacturing capacitor |
| US7081421B2 (en) | 2004-08-26 | 2006-07-25 | Micron Technology, Inc. | Lanthanide oxide dielectric layer |
| US7588988B2 (en) | 2004-08-31 | 2009-09-15 | Micron Technology, Inc. | Method of forming apparatus having oxide films formed using atomic layer deposition |
| US7250367B2 (en) | 2004-09-01 | 2007-07-31 | Micron Technology, Inc. | Deposition methods using heteroleptic precursors |
| US20060063318A1 (en) | 2004-09-10 | 2006-03-23 | Suman Datta | Reducing ambipolar conduction in carbon nanotube transistors |
| US7282773B2 (en) | 2004-09-14 | 2007-10-16 | Advanced Micro Devices Inc. | Semiconductor device with high-k dielectric layer |
| US7518179B2 (en) | 2004-10-08 | 2009-04-14 | Freescale Semiconductor, Inc. | Virtual ground memory array and method therefor |
| US20060257563A1 (en) | 2004-10-13 | 2006-11-16 | Seok-Joo Doh | Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique |
| US20060125030A1 (en) | 2004-12-13 | 2006-06-15 | Micron Technology, Inc. | Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics |
| US7560395B2 (en) | 2005-01-05 | 2009-07-14 | Micron Technology, Inc. | Atomic layer deposited hafnium tantalum oxide dielectrics |
| US7316962B2 (en) | 2005-01-07 | 2008-01-08 | Infineon Technologies Ag | High dielectric constant materials |
| US7109079B2 (en) | 2005-01-26 | 2006-09-19 | Freescale Semiconductor, Inc. | Metal gate transistor CMOS process and method for making |
| US7508648B2 (en) | 2005-02-08 | 2009-03-24 | Micron Technology, Inc. | Atomic layer deposition of Dy doped HfO2 films as gate dielectrics |
| US7374964B2 (en) | 2005-02-10 | 2008-05-20 | Micron Technology, Inc. | Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics |
| US7399666B2 (en) | 2005-02-15 | 2008-07-15 | Micron Technology, Inc. | Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics |
| US7498247B2 (en) | 2005-02-23 | 2009-03-03 | Micron Technology, Inc. | Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics |
| US7365027B2 (en) | 2005-03-29 | 2008-04-29 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
| US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
| US7504700B2 (en) | 2005-04-21 | 2009-03-17 | International Business Machines Corporation | Method of forming an ultra-thin [[HfSiO]] metal silicate film for high performance CMOS applications and semiconductor structure formed in said method |
| US7390756B2 (en) | 2005-04-28 | 2008-06-24 | Micron Technology, Inc. | Atomic layer deposited zirconium silicon oxide films |
| US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
| US7572695B2 (en) | 2005-05-27 | 2009-08-11 | Micron Technology, Inc. | Hafnium titanium oxide films |
| US20060267113A1 (en)* | 2005-05-27 | 2006-11-30 | Tobin Philip J | Semiconductor device structure and method therefor |
| US7510983B2 (en) | 2005-06-14 | 2009-03-31 | Micron Technology, Inc. | Iridium/zirconium oxide structure |
| US7195999B2 (en) | 2005-07-07 | 2007-03-27 | Micron Technology, Inc. | Metal-substituted transistor gates |
| US7619275B2 (en) | 2005-07-25 | 2009-11-17 | Freescale Semiconductor, Inc. | Process for forming an electronic device including discontinuous storage elements |
| US20070018214A1 (en) | 2005-07-25 | 2007-01-25 | Micron Technology, Inc. | Magnesium titanium oxide films |
| US7575978B2 (en) | 2005-08-04 | 2009-08-18 | Micron Technology, Inc. | Method for making conductive nanoparticle charge storage element |
| US20070049023A1 (en) | 2005-08-29 | 2007-03-01 | Micron Technology, Inc. | Zirconium-doped gadolinium oxide films |
| US7393736B2 (en) | 2005-08-29 | 2008-07-01 | Micron Technology, Inc. | Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics |
| US7544596B2 (en) | 2005-08-30 | 2009-06-09 | Micron Technology, Inc. | Atomic layer deposition of GdScO3 films as gate dielectrics |
| US8110469B2 (en) | 2005-08-30 | 2012-02-07 | Micron Technology, Inc. | Graded dielectric layers |
| US7214994B2 (en) | 2005-08-31 | 2007-05-08 | Micron Technology, Inc. | Self aligned metal gates on high-k dielectrics |
| US7410910B2 (en) | 2005-08-31 | 2008-08-12 | Micron Technology, Inc. | Lanthanum aluminum oxynitride dielectric films |
| US8071476B2 (en) | 2005-08-31 | 2011-12-06 | Micron Technology, Inc. | Cobalt titanium oxide dielectric films |
| US7824990B2 (en) | 2005-12-05 | 2010-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-metal-oxide high-K gate dielectrics |
| US7615438B2 (en) | 2005-12-08 | 2009-11-10 | Micron Technology, Inc. | Lanthanide yttrium aluminum oxide dielectric films |
| US7592251B2 (en) | 2005-12-08 | 2009-09-22 | Micron Technology, Inc. | Hafnium tantalum titanium oxide films |
| US7972974B2 (en) | 2006-01-10 | 2011-07-05 | Micron Technology, Inc. | Gallium lanthanide oxide films |
| US7709402B2 (en) | 2006-02-16 | 2010-05-04 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride films |
| US7582161B2 (en) | 2006-04-07 | 2009-09-01 | Micron Technology, Inc. | Atomic layer deposited titanium-doped indium oxide films |
| US7749879B2 (en) | 2006-08-03 | 2010-07-06 | Micron Technology, Inc. | ALD of silicon films on germanium |
| US7727908B2 (en) | 2006-08-03 | 2010-06-01 | Micron Technology, Inc. | Deposition of ZrA1ON films |
| US7985995B2 (en) | 2006-08-03 | 2011-07-26 | Micron Technology, Inc. | Zr-substituted BaTiO3 films |
| US7582549B2 (en) | 2006-08-25 | 2009-09-01 | Micron Technology, Inc. | Atomic layer deposited barium strontium titanium oxide films |
| US7776765B2 (en) | 2006-08-31 | 2010-08-17 | Micron Technology, Inc. | Tantalum silicon oxynitride high-k dielectrics and metal gates |
| US7605030B2 (en) | 2006-08-31 | 2009-10-20 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
| US7432548B2 (en) | 2006-08-31 | 2008-10-07 | Micron Technology, Inc. | Silicon lanthanide oxynitride films |
| US7563730B2 (en) | 2006-08-31 | 2009-07-21 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
| US20080057659A1 (en) | 2006-08-31 | 2008-03-06 | Micron Technology, Inc. | Hafnium aluminium oxynitride high-K dielectric and metal gates |
| US7727910B2 (en) | 2007-02-13 | 2010-06-01 | Micron Technology, Inc. | Zirconium-doped zinc oxide structures and methods |
| US7498230B2 (en) | 2007-02-13 | 2009-03-03 | Micron Technology, Inc. | Magnesium-doped zinc oxide structures and methods |
| US7517783B2 (en) | 2007-02-13 | 2009-04-14 | Micron Technology, Inc. | Molybdenum-doped indium oxide structures and methods |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US5714336A (en)* | 1986-08-05 | 1998-02-03 | Hoechst Aktiengesellschaft | Process and test kit for determining free active compounds in biological fluids |
| US6010969A (en)* | 1996-10-02 | 2000-01-04 | Micron Technology, Inc. | Method of depositing films on semiconductor devices by using carboxylate complexes |
| US6020243A (en)* | 1997-07-24 | 2000-02-01 | Texas Instruments Incorporated | Zirconium and/or hafnium silicon-oxynitride gate dielectric |
| US6020024A (en)* | 1997-08-04 | 2000-02-01 | Motorola, Inc. | Method for forming high dielectric constant metal oxides |
| US6184612B1 (en)* | 1997-08-08 | 2001-02-06 | Pioneer Electronic Corporation | Electron emission device with electron supply layer of hydrogenated amorphous silicon |
| US5879459A (en)* | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
| US6025627A (en)* | 1998-05-29 | 2000-02-15 | Micron Technology, Inc. | Alternate method and structure for improved floating gate tunneling devices |
| US6027961A (en)* | 1998-06-30 | 2000-02-22 | Motorola, Inc. | CMOS semiconductor devices and method of formation |
| US6682602B2 (en)* | 1998-09-01 | 2004-01-27 | Micron Technology, Inc. | Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands |
| US20030003722A1 (en)* | 1998-09-01 | 2003-01-02 | Micron Technology, Inc. | Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands |
| US6200893B1 (en)* | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
| US6207589B1 (en)* | 1999-07-19 | 2001-03-27 | Sharp Laboratories Of America, Inc. | Method of forming a doped metal oxide dielectric film |
| US6187484B1 (en)* | 1999-08-31 | 2001-02-13 | Micron Technology, Inc. | Irradiation mask |
| US20040007171A1 (en)* | 1999-10-14 | 2004-01-15 | Mikko Ritala | Method for growing thin oxide films |
| US6203613B1 (en)* | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
| US20030001241A1 (en)* | 2000-01-18 | 2003-01-02 | Agere Systems Guardian Corp. | Semiconductor device and method of fabrication |
| US20020004276A1 (en)* | 2000-02-28 | 2002-01-10 | Micron Technology, Inc. | Structure and method for dual gate oxide thicknesses |
| US20020004277A1 (en)* | 2000-02-28 | 2002-01-10 | Micron Technology, Inc. | Structure and method for dual gate oxide thicknesses |
| US20020003403A1 (en)* | 2000-04-25 | 2002-01-10 | Ghosh Amalkumar P. | Thin film encapsulation of organic light emitting diode devices |
| US20020024108A1 (en)* | 2000-06-26 | 2002-02-28 | Gerald Lucovsky | Novel non-crystalline oxides for use in microelectronic, optical, and other applications |
| US20020001971A1 (en)* | 2000-06-27 | 2002-01-03 | Hag-Ju Cho | Methods of manufacturing integrated circuit devices that include a metal oxide layer disposed on another layer to protect the other layer from diffusion of impurities and integrated circuit devices manufactured using same |
| US6521911B2 (en)* | 2000-07-20 | 2003-02-18 | North Carolina State University | High dielectric constant metal silicates formed by controlled metal-surface reactions |
| US20020028541A1 (en)* | 2000-08-14 | 2002-03-07 | Lee Thomas H. | Dense arrays and charge storage devices, and methods for making same |
| US20020024080A1 (en)* | 2000-08-31 | 2002-02-28 | Derderian Garo J. | Capacitor fabrication methods and capacitor constructions |
| US20020025628A1 (en)* | 2000-08-31 | 2002-02-28 | Derderian Garo J. | Capacitor fabrication methods and capacitor constructions |
| US6355561B1 (en)* | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
| US20040009679A1 (en)* | 2001-01-19 | 2004-01-15 | Yeo Jae-Hyun | Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same |
| US6844604B2 (en)* | 2001-02-02 | 2005-01-18 | Samsung Electronics Co., Ltd. | Dielectric layer for semiconductor device and method of manufacturing the same |
| US20030003702A1 (en)* | 2001-02-09 | 2003-01-02 | Micron Technology, Inc. | Formation of metal oxide gate dielectric |
| US20030003730A1 (en)* | 2001-02-13 | 2003-01-02 | Micron Technology, Inc. | Sequential pulse deposition |
| US6509280B2 (en)* | 2001-02-22 | 2003-01-21 | Samsung Electronics Co., Ltd. | Method for forming a dielectric layer of a semiconductor device |
| US6858865B2 (en)* | 2001-02-23 | 2005-02-22 | Micron Technology, Inc. | Doped aluminum oxide dielectrics |
| US20050034662A1 (en)* | 2001-03-01 | 2005-02-17 | Micro Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
| US20050030825A1 (en)* | 2001-03-15 | 2005-02-10 | Micron Technology, Inc. | Structures, methods, and systems for ferroelectric memory transistors |
| US20040004244A1 (en)* | 2001-03-15 | 2004-01-08 | Micron Technology, Inc. | Structures, methods, and systems for ferroelectric memory transistors |
| US6858444B2 (en)* | 2001-03-15 | 2005-02-22 | Micron Technology, Inc. | Method for making a ferroelectric memory transistor |
| US6514828B2 (en)* | 2001-04-20 | 2003-02-04 | Micron Technology, Inc. | Method of fabricating a highly reliable gate oxide |
| US20030003635A1 (en)* | 2001-05-23 | 2003-01-02 | Paranjpe Ajit P. | Atomic layer deposition for fabricating thin films |
| US20050037563A1 (en)* | 2001-06-13 | 2005-02-17 | Ahn Kie Y. | Capacitor structures |
| US20030008243A1 (en)* | 2001-07-09 | 2003-01-09 | Micron Technology, Inc. | Copper electroless deposition technology for ULSI metalization |
| US20030017717A1 (en)* | 2001-07-18 | 2003-01-23 | Ahn Kie Y. | Methods for forming dielectric materials and methods for forming semiconductor devices |
| US20030020180A1 (en)* | 2001-07-24 | 2003-01-30 | Ahn Kie Y. | Copper technology for ULSI metallization |
| US20030020169A1 (en)* | 2001-07-24 | 2003-01-30 | Ahn Kie Y. | Copper technology for ULSI metallization |
| US6677250B2 (en)* | 2001-08-17 | 2004-01-13 | Micron Technology, Inc. | CVD apparatuses and methods of forming a layer over a semiconductor substrate |
| US20030040196A1 (en)* | 2001-08-27 | 2003-02-27 | Lim Jung Wook | Method of forming insulation layer in semiconductor devices for controlling the composition and the doping concentration |
| US20030042526A1 (en)* | 2001-08-29 | 2003-03-06 | Micron Technology, Inc. | Method of improved high K dielectric-polysilicon interface for CMOS devices |
| US20050023603A1 (en)* | 2001-08-30 | 2005-02-03 | Micron Technology, Inc. | Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators |
| US7166886B2 (en)* | 2001-08-30 | 2007-01-23 | Micron Technology, Inc. | DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators |
| US20050023602A1 (en)* | 2001-08-30 | 2005-02-03 | Micron Technology, Inc. | Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers |
| US20050026349A1 (en)* | 2001-08-30 | 2005-02-03 | Micron Technology, Inc. | Flash memory with low tunnel barrier interpoly insulators |
| US6844203B2 (en)* | 2001-08-30 | 2005-01-18 | Micron Technology, Inc. | Gate oxides, and methods of forming |
| US20050023595A1 (en)* | 2001-08-30 | 2005-02-03 | Micron Technology, Inc. | Programmable array logic or memory devices with asymmetrical tunnel barriers |
| US7160817B2 (en)* | 2001-08-30 | 2007-01-09 | Micron Technology, Inc. | Dielectric material forming methods |
| US6683005B2 (en)* | 2001-08-30 | 2004-01-27 | Micron Technology, Inc. | Method of forming capacitor constructions |
| US20050029605A1 (en)* | 2001-08-30 | 2005-02-10 | Micron Technology, Inc. | Highly reliable amorphous high-k gate oxide ZrO2 |
| US20050032292A1 (en)* | 2001-08-30 | 2005-02-10 | Micron Technology, Inc. | Crystalline or amorphous medium-K gate oxides, Y2O3 and Gd2O3 |
| US6696332B2 (en)* | 2001-12-26 | 2004-02-24 | Texas Instruments Incorporated | Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing |
| US6838404B2 (en)* | 2002-01-09 | 2005-01-04 | Board Of Trustees Of University Of Illinois | Metal alkoxides and methods of making same |
| US6504214B1 (en)* | 2002-01-11 | 2003-01-07 | Advanced Micro Devices, Inc. | MOSFET device having high-K dielectric layer |
| US20040009678A1 (en)* | 2002-02-28 | 2004-01-15 | Hitachi Kokusai Electric Inc. | Method for manufacturing semiconductor device |
| US6844256B2 (en)* | 2002-03-13 | 2005-01-18 | Micron Technology, Inc. | High permeability composite films to reduce noise in high speed interconnects |
| US20040013009A1 (en)* | 2002-04-04 | 2004-01-22 | Kabushiki Kaisha Toshiba | Semiconductor memory device having a gate electrode and a method of manufacturing thereof |
| US6989565B1 (en)* | 2002-04-15 | 2006-01-24 | Lsi Logic Corporation | Memory device having an electron trapping layer in a high-K dielectric gate stack |
| US7160577B2 (en)* | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
| US20060000412A1 (en)* | 2002-05-02 | 2006-01-05 | Micron Technology, Inc. | Systems and apparatus for atomic-layer deposition |
| US20050023624A1 (en)* | 2002-06-05 | 2005-02-03 | Micron Technology, Inc. | Atomic layer-deposited HfAlO3 films for gate dielectrics |
| US20050023594A1 (en)* | 2002-06-05 | 2005-02-03 | Micron Technology, Inc. | Pr2O3-based la-oxide gate dielectrics |
| US20040004859A1 (en)* | 2002-07-08 | 2004-01-08 | Micron Technology, Inc. | Memory utilizing oxide nanolaminates |
| US20040004245A1 (en)* | 2002-07-08 | 2004-01-08 | Micron Technology, Inc. | Memory utilizing oxide-conductor nanolaminates |
| US20050023574A1 (en)* | 2002-07-08 | 2005-02-03 | Micron Technology, Inc. | Memory utilizing oxide-nitride nanolaminates |
| US20040004247A1 (en)* | 2002-07-08 | 2004-01-08 | Micron Technology, Inc. | Memory utilizing oxide-nitride nanolaminates |
| US20040023461A1 (en)* | 2002-07-30 | 2004-02-05 | Micron Technology, Inc. | Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics |
| US20040033701A1 (en)* | 2002-08-15 | 2004-02-19 | Micron Technology, Inc. | Lanthanide doped tiox dielectric films |
| US20050023627A1 (en)* | 2002-08-15 | 2005-02-03 | Micron Technology, Inc. | Lanthanide doped TiOx dielectric films by plasma oxidation |
| US20040033681A1 (en)* | 2002-08-15 | 2004-02-19 | Micron Technology, Inc. | Lanthanide doped TiOx dielectric films by plasma oxidation |
| US20040033661A1 (en)* | 2002-08-16 | 2004-02-19 | Yeo Jae-Hyun | Semiconductor device and method for manufacturing the same |
| US20040038554A1 (en)* | 2002-08-21 | 2004-02-26 | Ahn Kie Y. | Composite dielectric forming methods and composite dielectrics |
| US20050009370A1 (en)* | 2002-08-21 | 2005-01-13 | Ahn Kie Y. | Composite dielectric forming methods and composite dielectrics |
| US20040036129A1 (en)* | 2002-08-22 | 2004-02-26 | Micron Technology, Inc. | Atomic layer deposition of CMOS gates with variable work functions |
| US20050032342A1 (en)* | 2002-08-22 | 2005-02-10 | Micron Technology, Inc. | Atomic layer deposition of CMOS gates with variable work functions |
| US20040038525A1 (en)* | 2002-08-26 | 2004-02-26 | Shuang Meng | Enhanced atomic layer deposition |
| US6673701B1 (en)* | 2002-08-27 | 2004-01-06 | Micron Technology, Inc. | Atomic layer deposition methods |
| US20050023625A1 (en)* | 2002-08-28 | 2005-02-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films |
| US6686212B1 (en)* | 2002-10-31 | 2004-02-03 | Sharp Laboratories Of America, Inc. | Method to deposit a stacked high-κ gate dielectric for CMOS applications |
| US20050029604A1 (en)* | 2002-12-04 | 2005-02-10 | Micron Technology, Inc. | Atomic layer deposited Zr-Sn-Ti-O films using TiI4 |
| US20060003517A1 (en)* | 2002-12-04 | 2006-01-05 | Micron Technology, Inc. | Atomic layer deposited Zr-Sn-Ti-O films using TiI4 |
| US6844260B2 (en)* | 2003-01-30 | 2005-01-18 | Micron Technology, Inc. | Insitu post atomic layer deposition destruction of active species |
| US6852645B2 (en)* | 2003-02-13 | 2005-02-08 | Texas Instruments Incorporated | High temperature interface layer growth for high-k gate dielectric |
| US20060001151A1 (en)* | 2003-03-04 | 2006-01-05 | Micron Technology, Inc. | Atomic layer deposited dielectric layers |
| US20050029547A1 (en)* | 2003-06-24 | 2005-02-10 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectric layers |
| US20050020017A1 (en)* | 2003-06-24 | 2005-01-27 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectric layers |
| US20050023626A1 (en)* | 2003-06-24 | 2005-02-03 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectrics |
| US6989573B2 (en)* | 2003-10-10 | 2006-01-24 | Micron Technology, Inc. | Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics |
| US20060022252A1 (en)* | 2004-07-30 | 2006-02-02 | Samsung Electronics Co., Ltd. | Nonvolatile memory device and method of fabricating the same |
| US20060024975A1 (en)* | 2004-08-02 | 2006-02-02 | Micron Technology, Inc. | Atomic layer deposition of zirconium-doped tantalum oxide films |
| US7494939B2 (en)* | 2004-08-31 | 2009-02-24 | Micron Technology, Inc. | Methods for forming a lanthanum-metal oxide dielectric layer |
| US20090032910A1 (en)* | 2004-12-13 | 2009-02-05 | Micron Technology, Inc. | Dielectric stack containing lanthanum and hafnium |
| US20080014689A1 (en)* | 2006-07-07 | 2008-01-17 | Texas Instruments Incorporated | Method for making planar nanowire surround gate mosfet |
| US20080042211A1 (en)* | 2006-08-18 | 2008-02-21 | Micron Technology, Inc. | Strained semiconductor channels and methods of formation |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US7869242B2 (en) | 1999-07-30 | 2011-01-11 | Micron Technology, Inc. | Transmission lines for CMOS integrated circuits |
| US20050034662A1 (en)* | 2001-03-01 | 2005-02-17 | Micro Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
| US7410668B2 (en) | 2001-03-01 | 2008-08-12 | Micron Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
| US8652957B2 (en) | 2001-08-30 | 2014-02-18 | Micron Technology, Inc. | High-K gate dielectric oxide |
| US20050032292A1 (en)* | 2001-08-30 | 2005-02-10 | Micron Technology, Inc. | Crystalline or amorphous medium-K gate oxides, Y2O3 and Gd2O3 |
| US7259434B2 (en) | 2001-08-30 | 2007-08-21 | Micron Technology, Inc. | Highly reliable amorphous high-k gate oxide ZrO2 |
| US7208804B2 (en) | 2001-08-30 | 2007-04-24 | Micron Technology, Inc. | Crystalline or amorphous medium-K gate oxides, Y203 and Gd203 |
| US7476925B2 (en) | 2001-08-30 | 2009-01-13 | Micron Technology, Inc. | Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators |
| US8026161B2 (en) | 2001-08-30 | 2011-09-27 | Micron Technology, Inc. | Highly reliable amorphous high-K gate oxide ZrO2 |
| US7446368B2 (en) | 2001-08-30 | 2008-11-04 | Micron Technology, Inc. | Deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators |
| US7560793B2 (en) | 2002-05-02 | 2009-07-14 | Micron Technology, Inc. | Atomic layer deposition and conversion |
| US7670646B2 (en) | 2002-05-02 | 2010-03-02 | Micron Technology, Inc. | Methods for atomic-layer deposition |
| US7160577B2 (en) | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
| US7589029B2 (en) | 2002-05-02 | 2009-09-15 | Micron Technology, Inc. | Atomic layer deposition and conversion |
| US7135421B2 (en) | 2002-06-05 | 2006-11-14 | Micron Technology, Inc. | Atomic layer-deposited hafnium aluminum oxide |
| US7205218B2 (en) | 2002-06-05 | 2007-04-17 | Micron Technology, Inc. | Method including forming gate dielectrics having multiple lanthanide oxide layers |
| US7554161B2 (en) | 2002-06-05 | 2009-06-30 | Micron Technology, Inc. | HfAlO3 films for gate dielectrics |
| US8093638B2 (en) | 2002-06-05 | 2012-01-10 | Micron Technology, Inc. | Systems with a gate dielectric having multiple lanthanide oxide layers |
| US20030228747A1 (en)* | 2002-06-05 | 2003-12-11 | Micron Technology, Inc. | Pr2O3-based la-oxide gate dielectrics |
| US7235854B2 (en) | 2002-08-15 | 2007-06-26 | Micron Technology, Inc. | Lanthanide doped TiOx dielectric films |
| US20050124174A1 (en)* | 2002-08-15 | 2005-06-09 | Micron Technology, Inc. | Lanthanide doped TiOx dielectric films by plasma oxidation |
| US7439194B2 (en) | 2002-08-15 | 2008-10-21 | Micron Technology, Inc. | Lanthanide doped TiOx dielectric films by plasma oxidation |
| US20040164365A1 (en)* | 2002-08-15 | 2004-08-26 | Micron Technology, Inc. | Lanthanide doped TiOx dielectric films |
| US20050023625A1 (en)* | 2002-08-28 | 2005-02-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films |
| US7326980B2 (en) | 2002-08-28 | 2008-02-05 | Micron Technology, Inc. | Devices with HfSiON dielectric films which are Hf-O rich |
| US7410917B2 (en) | 2002-12-04 | 2008-08-12 | Micron Technology, Inc. | Atomic layer deposited Zr-Sn-Ti-O films using TiI4 |
| US7923381B2 (en) | 2002-12-04 | 2011-04-12 | Micron Technology, Inc. | Methods of forming electronic devices containing Zr-Sn-Ti-O films |
| US8445952B2 (en) | 2002-12-04 | 2013-05-21 | Micron Technology, Inc. | Zr-Sn-Ti-O films |
| US7402876B2 (en) | 2002-12-04 | 2008-07-22 | Micron Technology, Inc. | Zr— Sn—Ti—O films |
| US7611959B2 (en) | 2002-12-04 | 2009-11-03 | Micron Technology, Inc. | Zr-Sn-Ti-O films |
| US7405454B2 (en) | 2003-03-04 | 2008-07-29 | Micron Technology, Inc. | Electronic apparatus with deposited dielectric layers |
| US20080166867A1 (en)* | 2003-03-25 | 2008-07-10 | Rohm Co., Ltd. | Semiconductor device, method of manufacturing the same, and method of manufacturing metal compound thin film |
| US7790627B2 (en)* | 2003-03-25 | 2010-09-07 | Rohm Co., Ltd. | Semiconductor device, method of manufacturing the same, and method of manufacturing metal compound thin film |
| US20050054165A1 (en)* | 2003-03-31 | 2005-03-10 | Micron Technology, Inc. | Atomic layer deposited ZrAlxOy dielectric layers |
| US7135369B2 (en) | 2003-03-31 | 2006-11-14 | Micron Technology, Inc. | Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9 |
| US20060255470A1 (en)* | 2003-03-31 | 2006-11-16 | Micron Technology, Inc. | ZrAlxOy DIELECTRIC LAYERS |
| US7625794B2 (en) | 2003-03-31 | 2009-12-01 | Micron Technology, Inc. | Methods of forming zirconium aluminum oxide |
| US7312494B2 (en) | 2003-06-24 | 2007-12-25 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectric layers |
| US8288809B2 (en) | 2004-08-02 | 2012-10-16 | Micron Technology, Inc. | Zirconium-doped tantalum oxide films |
| US20060024975A1 (en)* | 2004-08-02 | 2006-02-02 | Micron Technology, Inc. | Atomic layer deposition of zirconium-doped tantalum oxide films |
| US7601649B2 (en) | 2004-08-02 | 2009-10-13 | Micron Technology, Inc. | Zirconium-doped tantalum oxide films |
| US7727905B2 (en) | 2004-08-02 | 2010-06-01 | Micron Technology, Inc. | Zirconium-doped tantalum oxide films |
| US8765616B2 (en) | 2004-08-02 | 2014-07-01 | Micron Technology, Inc. | Zirconium-doped tantalum oxide films |
| US7776762B2 (en) | 2004-08-02 | 2010-08-17 | Micron Technology, Inc. | Zirconium-doped tantalum oxide films |
| US8907486B2 (en) | 2004-08-26 | 2014-12-09 | Micron Technology, Inc. | Ruthenium for a dielectric containing a lanthanide |
| US8558325B2 (en) | 2004-08-26 | 2013-10-15 | Micron Technology, Inc. | Ruthenium for a dielectric containing a lanthanide |
| US7719065B2 (en) | 2004-08-26 | 2010-05-18 | Micron Technology, Inc. | Ruthenium layer for a dielectric layer containing a lanthanide oxide |
| US8237216B2 (en) | 2004-08-31 | 2012-08-07 | Micron Technology, Inc. | Apparatus having a lanthanum-metal oxide semiconductor device |
| US7867919B2 (en) | 2004-08-31 | 2011-01-11 | Micron Technology, Inc. | Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer |
| US7494939B2 (en) | 2004-08-31 | 2009-02-24 | Micron Technology, Inc. | Methods for forming a lanthanum-metal oxide dielectric layer |
| US20060094191A1 (en)* | 2004-10-28 | 2006-05-04 | Choi Han-Mei | Methods of manufacturing a semiconductor device including a dielectric layer including zirconium |
| US7402491B2 (en)* | 2004-10-28 | 2008-07-22 | Samsung Electronics Co., Ltd. | Methods of manufacturing a semiconductor device including a dielectric layer including zirconium |
| US7235501B2 (en) | 2004-12-13 | 2007-06-26 | Micron Technology, Inc. | Lanthanum hafnium oxide dielectrics |
| US7411237B2 (en) | 2004-12-13 | 2008-08-12 | Micron Technology, Inc. | Lanthanum hafnium oxide dielectrics |
| US7915174B2 (en) | 2004-12-13 | 2011-03-29 | Micron Technology, Inc. | Dielectric stack containing lanthanum and hafnium |
| US7560395B2 (en) | 2005-01-05 | 2009-07-14 | Micron Technology, Inc. | Atomic layer deposited hafnium tantalum oxide dielectrics |
| US7602030B2 (en) | 2005-01-05 | 2009-10-13 | Micron Technology, Inc. | Hafnium tantalum oxide dielectrics |
| US8524618B2 (en) | 2005-01-05 | 2013-09-03 | Micron Technology, Inc. | Hafnium tantalum oxide dielectrics |
| US8278225B2 (en) | 2005-01-05 | 2012-10-02 | Micron Technology, Inc. | Hafnium tantalum oxide dielectrics |
| US7863202B2 (en) | 2005-01-07 | 2011-01-04 | Qimonda Ag | High dielectric constant materials |
| US20060151845A1 (en)* | 2005-01-07 | 2006-07-13 | Shrinivas Govindarajan | Method to control interfacial properties for capacitors using a metal flash layer |
| US20060151822A1 (en)* | 2005-01-07 | 2006-07-13 | Shrinivas Govindarajan | DRAM with high K dielectric storage capacitor and method of making the same |
| US20080096363A1 (en)* | 2005-01-07 | 2008-04-24 | Shrinivas Govindarajan | High Dielectric Constant Materials |
| US7518246B2 (en) | 2005-02-10 | 2009-04-14 | Micron Technology, Inc. | Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics |
| US7374964B2 (en) | 2005-02-10 | 2008-05-20 | Micron Technology, Inc. | Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics |
| US20060177975A1 (en)* | 2005-02-10 | 2006-08-10 | Micron Technology, Inc. | Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics |
| US7754618B2 (en) | 2005-02-10 | 2010-07-13 | Micron Technology, Inc. | Method of forming an apparatus having a dielectric containing cerium oxide and aluminum oxide |
| US20080248618A1 (en)* | 2005-02-10 | 2008-10-09 | Micron Technology, Inc. | ATOMIC LAYER DEPOSITION OF CeO2/Al2O3 FILMS AS GATE DIELECTRICS |
| US7423311B2 (en) | 2005-02-15 | 2008-09-09 | Micron Technology, Inc. | Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics |
| US20060263972A1 (en)* | 2005-02-15 | 2006-11-23 | Micron Technology, Inc. | ATOMIC LAYER DEPOSITION OF Zr3N4/ZrO2 FILMS AS GATE DIELECTRICS |
| US7399666B2 (en) | 2005-02-15 | 2008-07-15 | Micron Technology, Inc. | Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics |
| US7365027B2 (en) | 2005-03-29 | 2008-04-29 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
| US7511326B2 (en) | 2005-03-29 | 2009-03-31 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
| US8102013B2 (en) | 2005-03-29 | 2012-01-24 | Micron Technology, Inc. | Lanthanide doped TiOx films |
| US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
| US8076249B2 (en) | 2005-03-29 | 2011-12-13 | Micron Technology, Inc. | Structures containing titanium silicon oxide |
| US8399365B2 (en) | 2005-03-29 | 2013-03-19 | Micron Technology, Inc. | Methods of forming titanium silicon oxide |
| US20060228868A1 (en)* | 2005-03-29 | 2006-10-12 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
| US20090173979A1 (en)* | 2005-03-29 | 2009-07-09 | Micron Technology, Inc. | ALD OF AMORPHOUS LANTHANIDE DOPED TiOX FILMS |
| US20080217676A1 (en)* | 2005-04-28 | 2008-09-11 | Micron Technology, Inc. | Zirconium silicon oxide films |
| US7390756B2 (en) | 2005-04-28 | 2008-06-24 | Micron Technology, Inc. | Atomic layer deposited zirconium silicon oxide films |
| US8084808B2 (en) | 2005-04-28 | 2011-12-27 | Micron Technology, Inc. | Zirconium silicon oxide films |
| US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
| US7700989B2 (en) | 2005-05-27 | 2010-04-20 | Micron Technology, Inc. | Hafnium titanium oxide films |
| US7572695B2 (en) | 2005-05-27 | 2009-08-11 | Micron Technology, Inc. | Hafnium titanium oxide films |
| US7510983B2 (en) | 2005-06-14 | 2009-03-31 | Micron Technology, Inc. | Iridium/zirconium oxide structure |
| US20060281330A1 (en)* | 2005-06-14 | 2006-12-14 | Micron Technology, Inc. | Iridium / zirconium oxide structure |
| US7927948B2 (en) | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
| US8288818B2 (en) | 2005-07-20 | 2012-10-16 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
| US8501563B2 (en) | 2005-07-20 | 2013-08-06 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
| US8921914B2 (en) | 2005-07-20 | 2014-12-30 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
| US7575978B2 (en) | 2005-08-04 | 2009-08-18 | Micron Technology, Inc. | Method for making conductive nanoparticle charge storage element |
| US20090302371A1 (en)* | 2005-08-04 | 2009-12-10 | Micron Technology, Inc. | Conductive nanoparticles |
| US9496355B2 (en) | 2005-08-04 | 2016-11-15 | Micron Technology, Inc. | Conductive nanoparticles |
| US7989290B2 (en) | 2005-08-04 | 2011-08-02 | Micron Technology, Inc. | Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps |
| US20090173991A1 (en)* | 2005-08-04 | 2009-07-09 | Marsh Eugene P | Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps |
| US20070092989A1 (en)* | 2005-08-04 | 2007-04-26 | Micron Technology, Inc. | Conductive nanoparticles |
| US8314456B2 (en) | 2005-08-04 | 2012-11-20 | Micron Technology, Inc. | Apparatus including rhodium-based charge traps |
| US20070040195A1 (en)* | 2005-08-19 | 2007-02-22 | The University Of Chicago | Monolithic integrated passive and active electronic devices with biocompatible coatings |
| US20110121378A1 (en)* | 2005-08-29 | 2011-05-26 | Ahn Kie Y | ZrXHfYSn1-X-YO2 FILMS AS HIGH K GATE DIELECTRICS |
| US20080224240A1 (en)* | 2005-08-29 | 2008-09-18 | Micron Technology, Inc. | ATOMIC LAYER DEPOSITION OF Zrx Hfy Sn1-x-y O2 FILMS AS HIGH k GATE DIELECTRICS |
| US7875912B2 (en) | 2005-08-29 | 2011-01-25 | Micron Technology, Inc. | Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics |
| US8497542B2 (en) | 2005-08-29 | 2013-07-30 | Micron Technology, Inc. | ZrXHfYSn1-X-YO2 films as high K gate dielectrics |
| US9627501B2 (en) | 2005-08-30 | 2017-04-18 | Micron Technology, Inc. | Graded dielectric structures |
| US8110469B2 (en) | 2005-08-30 | 2012-02-07 | Micron Technology, Inc. | Graded dielectric layers |
| US8951903B2 (en) | 2005-08-30 | 2015-02-10 | Micron Technology, Inc. | Graded dielectric structures |
| US7531869B2 (en) | 2005-08-31 | 2009-05-12 | Micron Technology, Inc. | Lanthanum aluminum oxynitride dielectric films |
| US7410910B2 (en) | 2005-08-31 | 2008-08-12 | Micron Technology, Inc. | Lanthanum aluminum oxynitride dielectric films |
| US9129961B2 (en) | 2006-01-10 | 2015-09-08 | Micron Technology, Inc. | Gallium lathanide oxide films |
| US7972974B2 (en) | 2006-01-10 | 2011-07-05 | Micron Technology, Inc. | Gallium lanthanide oxide films |
| US9583334B2 (en) | 2006-01-10 | 2017-02-28 | Micron Technology, Inc. | Gallium lanthanide oxide films |
| US8785312B2 (en) | 2006-02-16 | 2014-07-22 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride |
| US7709402B2 (en) | 2006-02-16 | 2010-05-04 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride films |
| US8628615B2 (en) | 2006-04-07 | 2014-01-14 | Micron Technology, Inc. | Titanium-doped indium oxide films |
| US20070234949A1 (en)* | 2006-04-07 | 2007-10-11 | Micron Technology, Inc. | Atomic layer deposited titanium-doped indium oxide films |
| US8273177B2 (en) | 2006-04-07 | 2012-09-25 | Micron Technology, Inc. | Titanium-doped indium oxide films |
| US7582161B2 (en) | 2006-04-07 | 2009-09-01 | Micron Technology, Inc. | Atomic layer deposited titanium-doped indium oxide films |
| US9502256B2 (en) | 2006-08-03 | 2016-11-22 | Micron Technology, Inc. | ZrAION films |
| US9236245B2 (en) | 2006-08-03 | 2016-01-12 | Micron Technology, Inc. | ZrA1ON films |
| US20080032465A1 (en)* | 2006-08-03 | 2008-02-07 | Micron Technology, Inc. | Deposition of ZrAION films |
| US20100237403A1 (en)* | 2006-08-03 | 2010-09-23 | Ahn Kie Y | ZrAlON FILMS |
| US8993455B2 (en) | 2006-08-03 | 2015-03-31 | Micron Technology, Inc. | ZrAlON films |
| US7727908B2 (en) | 2006-08-03 | 2010-06-01 | Micron Technology, Inc. | Deposition of ZrA1ON films |
| US20080057659A1 (en)* | 2006-08-31 | 2008-03-06 | Micron Technology, Inc. | Hafnium aluminium oxynitride high-K dielectric and metal gates |
| US7544604B2 (en) | 2006-08-31 | 2009-06-09 | Micron Technology, Inc. | Tantalum lanthanide oxynitride films |
| US7605030B2 (en) | 2006-08-31 | 2009-10-20 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
| US8466016B2 (en) | 2006-08-31 | 2013-06-18 | Micron Technolgy, Inc. | Hafnium tantalum oxynitride dielectric |
| US20080054330A1 (en)* | 2006-08-31 | 2008-03-06 | Micron Technology, Inc. | Tantalum lanthanide oxynitride films |
| US20080087945A1 (en)* | 2006-08-31 | 2008-04-17 | Micron Technology, Inc. | Silicon lanthanide oxynitride films |
| US8519466B2 (en) | 2006-08-31 | 2013-08-27 | Micron Technology, Inc. | Tantalum silicon oxynitride high-K dielectrics and metal gates |
| US20080124908A1 (en)* | 2006-08-31 | 2008-05-29 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
| US8168502B2 (en) | 2006-08-31 | 2012-05-01 | Micron Technology, Inc. | Tantalum silicon oxynitride high-K dielectrics and metal gates |
| US8557672B2 (en) | 2006-08-31 | 2013-10-15 | Micron Technology, Inc. | Dielectrics containing at least one of a refractory metal or a non-refractory metal |
| US8114763B2 (en) | 2006-08-31 | 2012-02-14 | Micron Technology, Inc. | Tantalum aluminum oxynitride high-K dielectric |
| US20080121962A1 (en)* | 2006-08-31 | 2008-05-29 | Micron Technology, Inc. | Tantalum aluminum oxynitride high-k dielectric and metal gates |
| US8759170B2 (en) | 2006-08-31 | 2014-06-24 | Micron Technology, Inc. | Hafnium tantalum oxynitride dielectric |
| US8084370B2 (en) | 2006-08-31 | 2011-12-27 | Micron Technology, Inc. | Hafnium tantalum oxynitride dielectric |
| US8772851B2 (en) | 2006-08-31 | 2014-07-08 | Micron Technology, Inc. | Dielectrics containing at least one of a refractory metal or a non-refractory metal |
| US7432548B2 (en) | 2006-08-31 | 2008-10-07 | Micron Technology, Inc. | Silicon lanthanide oxynitride films |
| US20090236650A1 (en)* | 2006-08-31 | 2009-09-24 | Micron Technology, Inc. | Tantalum lanthanide oxynitride films |
| US7759747B2 (en) | 2006-08-31 | 2010-07-20 | Micron Technology, Inc. | Tantalum aluminum oxynitride high-κ dielectric |
| US7989362B2 (en) | 2006-08-31 | 2011-08-02 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
| US8951880B2 (en) | 2006-08-31 | 2015-02-10 | Micron Technology, Inc. | Dielectrics containing at least one of a refractory metal or a non-refractory metal |
| US7902582B2 (en) | 2006-08-31 | 2011-03-08 | Micron Technology, Inc. | Tantalum lanthanide oxynitride films |
| US7776765B2 (en) | 2006-08-31 | 2010-08-17 | Micron Technology, Inc. | Tantalum silicon oxynitride high-k dielectrics and metal gates |
| US7563730B2 (en) | 2006-08-31 | 2009-07-21 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
| US20080057690A1 (en)* | 2006-08-31 | 2008-03-06 | Micron Technology, Inc. | Tantalum silicon oxynitride high-k dielectrics and metal gates |
| US8367506B2 (en) | 2007-06-04 | 2013-02-05 | Micron Technology, Inc. | High-k dielectrics with gold nano-particles |
| US9064866B2 (en) | 2007-06-04 | 2015-06-23 | Micro Technology, Inc. | High-k dielectrics with gold nano-particles |
| US20080296650A1 (en)* | 2007-06-04 | 2008-12-04 | Micron Technology, Inc. | High-k dielectrics with gold nano-particles |
| US20110048769A1 (en)* | 2009-09-01 | 2011-03-03 | Elpida Memory, Inc. | Insulating film, method of manufacturing the same, and semiconductor device |
| US9290840B2 (en) | 2009-11-06 | 2016-03-22 | Beneq Oy | Method for forming an electrically conductive oxide film, an electrically conductive oxide film, and uses for the same |
| US9892814B2 (en) | 2009-11-06 | 2018-02-13 | Beneq Oy | Method for forming an electrically conductive oxide film, an electrically conductive oxide film, and uses for the same |
| US20140327062A1 (en)* | 2013-05-03 | 2014-11-06 | Ki-yeon Park | Electronic devices including oxide dielectric and interface layers |
| US10192742B2 (en) | 2013-11-07 | 2019-01-29 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
| US20150315705A1 (en)* | 2014-05-01 | 2015-11-05 | Tokyo Electron Limited | Film forming method and film forming apparatus |
| US9551068B2 (en)* | 2014-05-01 | 2017-01-24 | Tokyo Electron Limited | Film forming method and film forming apparatus |
| CN105039929A (en)* | 2014-05-01 | 2015-11-11 | 东京毅力科创株式会社 | Film forming method and film forming apparatus |
| TWI611042B (en)* | 2014-05-01 | 2018-01-11 | 東京威力科創股份有限公司 | Film forming method and film forming apparatus |
| CN105390369A (en)* | 2014-08-20 | 2016-03-09 | 朗姆研究公司 | A Method for Tuning TiOx Stoichiometry Using Atomic Layer Deposited Ti Films |
| US10072335B2 (en)* | 2014-08-29 | 2018-09-11 | University Of Maryland, College Park | Method of coating of object |
| US20160060758A1 (en)* | 2014-08-29 | 2016-03-03 | University Of Maryland, College Park | Protective coated object and method of coating an object |
| US11081577B2 (en)* | 2014-10-17 | 2021-08-03 | Industry-University Cooperation Foundation Hanyang University Erica Campus | Electronic device including two-dimensional electron gas and method of fabricating the same |
| US20190067440A1 (en)* | 2017-08-29 | 2019-02-28 | Indian Institute Of Science | Enhancement mode high electron mobility transistor (hemt) |
| US10840348B2 (en)* | 2017-08-29 | 2020-11-17 | Indian Institute Of Science | Enhancement mode high electron mobility transistor (HEMT) |
| CN112969816A (en)* | 2018-10-04 | 2021-06-15 | 弗萨姆材料美国有限责任公司 | Compositions for high temperature atomic layer deposition of high quality silicon oxide films |
| US12421603B2 (en) | 2018-10-04 | 2025-09-23 | Versum Materials Us, Llc | Composition for high temperature atomic layer deposition of high quality silicon oxide thin films |
| US12108677B2 (en) | 2020-09-08 | 2024-10-01 | Industry-University Cooperation Foundation Hanyang University Erica Campus | Thermoelectric composite, preparation method therefor, and thermoelectric device and semiconductor device each comprising thermoelectric composite |
| CN113013250A (en)* | 2021-02-24 | 2021-06-22 | 北京大学 | Field effect transistor and preparation method thereof |
| WO2025052363A1 (en)* | 2023-09-06 | 2025-03-13 | Indian Institute Of Science | Method for high threshold voltage and high breakdown gate stack in p-gan gate e-mode hemts |
| Publication number | Publication date |
|---|---|
| WO2006026716A1 (en) | 2006-03-09 |
| US7588988B2 (en) | 2009-09-15 |
| TW200629408A (en) | 2006-08-16 |
| US8154066B2 (en) | 2012-04-10 |
| US20070090441A1 (en) | 2007-04-26 |
| US20120196448A1 (en) | 2012-08-02 |
| US8541276B2 (en) | 2013-09-24 |
| TWI312542B (en) | 2009-07-21 |
| Publication | Publication Date | Title |
|---|---|---|
| US7588988B2 (en) | Method of forming apparatus having oxide films formed using atomic layer deposition | |
| US7727905B2 (en) | Zirconium-doped tantalum oxide films | |
| US8237216B2 (en) | Apparatus having a lanthanum-metal oxide semiconductor device | |
| US8524618B2 (en) | Hafnium tantalum oxide dielectrics | |
| US8907486B2 (en) | Ruthenium for a dielectric containing a lanthanide | |
| US7411237B2 (en) | Lanthanum hafnium oxide dielectrics | |
| US7510983B2 (en) | Iridium/zirconium oxide structure | |
| US7989285B2 (en) | Method of forming a film containing dysprosium oxide and hafnium oxide using atomic layer deposition | |
| US7687409B2 (en) | Atomic layer deposited titanium silicon oxide films |
| Date | Code | Title | Description |
|---|---|---|---|
| AS | Assignment | Owner name:MICRON TECHNOLOGY INC., IDAHO Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AHN, KIE Y.;FORBES, LEONARD;REEL/FRAME:015765/0364;SIGNING DATES FROM 20040826 TO 20040827 | |
| FEPP | Fee payment procedure | Free format text:PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY | |
| STCF | Information on status: patent grant | Free format text:PATENTED CASE | |
| CC | Certificate of correction | ||
| FPAY | Fee payment | Year of fee payment:4 | |
| AS | Assignment | Owner name:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA Free format text:SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001 Effective date:20160426 Owner name:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN Free format text:SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001 Effective date:20160426 | |
| AS | Assignment | Owner name:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND Free format text:PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001 Effective date:20160426 Owner name:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL Free format text:PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001 Effective date:20160426 | |
| FPAY | Fee payment | Year of fee payment:8 | |
| AS | Assignment | Owner name:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA Free format text:CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001 Effective date:20160426 Owner name:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN Free format text:CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001 Effective date:20160426 | |
| AS | Assignment | Owner name:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS Free format text:SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001 Effective date:20180703 Owner name:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL Free format text:SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001 Effective date:20180703 | |
| AS | Assignment | Owner name:MICRON TECHNOLOGY, INC., IDAHO Free format text:RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001 Effective date:20180629 | |
| AS | Assignment | Owner name:MICRON TECHNOLOGY, INC., IDAHO Free format text:RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001 Effective date:20190731 | |
| AS | Assignment | Owner name:MICRON TECHNOLOGY, INC., IDAHO Free format text:RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001 Effective date:20190731 Owner name:MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO Free format text:RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001 Effective date:20190731 | |
| MAFP | Maintenance fee payment | Free format text:PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment:12 |