Movatterモバイル変換


[0]ホーム

URL:


US20050266682A1 - Methods and apparatus for forming barrier layers in high aspect ratio vias - Google Patents

Methods and apparatus for forming barrier layers in high aspect ratio vias
Download PDF

Info

Publication number
US20050266682A1
US20050266682A1US11/185,248US18524805AUS2005266682A1US 20050266682 A1US20050266682 A1US 20050266682A1US 18524805 AUS18524805 AUS 18524805AUS 2005266682 A1US2005266682 A1US 2005266682A1
Authority
US
United States
Prior art keywords
chamber
diffusion barrier
barrier layer
substrate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/185,248
Inventor
Fusen Chen
Ling Chen
Walter Glenn
Praburam Gopalraja
Jianming Fu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials IncfiledCriticalApplied Materials Inc
Priority to US11/185,248priorityCriticalpatent/US20050266682A1/en
Publication of US20050266682A1publicationCriticalpatent/US20050266682A1/en
Abandonedlegal-statusCriticalCurrent

Links

Images

Classifications

Definitions

Landscapes

Abstract

In a first aspect, a method is provided that includes (1) forming a first barrier layer over the sidewalls and bottom of a via using atomic layer deposition within an atomic layer deposition (ALD) chamber; (2) removing at least a portion of the first barrier layer from the bottom of the via by sputter etching; and (3) depositing a second barrier layer on the sidewalls and bottom of the via within the ALD chamber. Numerous other embodiments are provided, as are systems, methods and computer program products in accordance with these and other aspects.

Description

Claims (32)

78. A method for depositing a diffusion barrier and a metal conductive layer on a partially fabricated integrated circuit containing a plurality of unlanded vias, the method comprising:
(a) depositing a first portion of the diffusion barrier on the surface of the partially fabricated integrated circuit;
(b) etching through at least part of the first portion of the diffusion barrier at the bottoms of a plurality of unlanded and landed vias to expose at least part of an underlying metal layer while simultaneously depositing a second portion of the diffusion barrier on at least field regions of the surface of the partially fabricated integrated circuit;
(c) depositing a third portion of the diffusion barrier, which covers at least the bottoms of the vias; and
(d) depositing the metal conductive layer over the surface of the wafer substrate.
US11/185,2482002-09-112005-07-19Methods and apparatus for forming barrier layers in high aspect ratio viasAbandonedUS20050266682A1 (en)

Priority Applications (1)

Application NumberPriority DateFiling DateTitle
US11/185,248US20050266682A1 (en)2002-09-112005-07-19Methods and apparatus for forming barrier layers in high aspect ratio vias

Applications Claiming Priority (3)

Application NumberPriority DateFiling DateTitle
US10/241,373US6784096B2 (en)2002-09-112002-09-11Methods and apparatus for forming barrier layers in high aspect ratio vias
US10/894,774US6974771B2 (en)2002-09-112004-07-20Methods and apparatus for forming barrier layers in high aspect ratio vias
US11/185,248US20050266682A1 (en)2002-09-112005-07-19Methods and apparatus for forming barrier layers in high aspect ratio vias

Related Parent Applications (1)

Application NumberTitlePriority DateFiling Date
US10/894,774ContinuationUS6974771B2 (en)2002-09-112004-07-20Methods and apparatus for forming barrier layers in high aspect ratio vias

Publications (1)

Publication NumberPublication Date
US20050266682A1true US20050266682A1 (en)2005-12-01

Family

ID=31991183

Family Applications (4)

Application NumberTitlePriority DateFiling Date
US10/241,373Expired - Fee RelatedUS6784096B2 (en)2002-09-112002-09-11Methods and apparatus for forming barrier layers in high aspect ratio vias
US10/894,774Expired - LifetimeUS6974771B2 (en)2002-09-112004-07-20Methods and apparatus for forming barrier layers in high aspect ratio vias
US11/185,248AbandonedUS20050266682A1 (en)2002-09-112005-07-19Methods and apparatus for forming barrier layers in high aspect ratio vias
US11/264,517Expired - Fee RelatedUS7547644B2 (en)2002-09-112005-11-01Methods and apparatus for forming barrier layers in high aspect ratio vias

Family Applications Before (2)

Application NumberTitlePriority DateFiling Date
US10/241,373Expired - Fee RelatedUS6784096B2 (en)2002-09-112002-09-11Methods and apparatus for forming barrier layers in high aspect ratio vias
US10/894,774Expired - LifetimeUS6974771B2 (en)2002-09-112004-07-20Methods and apparatus for forming barrier layers in high aspect ratio vias

Family Applications After (1)

Application NumberTitlePriority DateFiling Date
US11/264,517Expired - Fee RelatedUS7547644B2 (en)2002-09-112005-11-01Methods and apparatus for forming barrier layers in high aspect ratio vias

Country Status (1)

CountryLink
US (4)US6784096B2 (en)

Cited By (66)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US20040094402A1 (en)*2002-08-012004-05-20Applied Materials, Inc.Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20050136682A1 (en)*2003-04-092005-06-23Lam Research CorporationMethod for plasma etching using periodic modulation of gas chemistry
US20050255690A1 (en)*2000-11-012005-11-17Ling ChenMulti-step barrier deposition method
US20050274622A1 (en)*2004-06-102005-12-15Zhi-Wen SunPlating chemistry and method of single-step electroplating of copper on a barrier metal
US20060057843A1 (en)*2002-09-112006-03-16Applied Materials, Inc.Methods and apparatus for forming barrier layers in high aspect ratio vias
US20070026677A1 (en)*2002-10-112007-02-01Lam Research CorporationMethod for plasma etching performance enhancement
US20070077749A1 (en)*2005-09-302007-04-05Kai FrohbergMethod for forming a tungsten interconnect structure with enhanced sidewall coverage of the barrier layer
US7211508B2 (en)2003-06-182007-05-01Applied Materials, Inc.Atomic layer deposition of tantalum based barrier materials
US20070218688A1 (en)*2000-06-282007-09-20Ming XiMethod for depositing tungsten-containing layers by vapor deposition techniques
US7402210B2 (en)2002-11-142008-07-22Applied Materials, Inc.Apparatus and method for hybrid chemical processing
US20080288108A1 (en)*2005-12-222008-11-20Carl Zeiss Smt AgProjection objective with decentralized control
US7465666B2 (en)2000-06-282008-12-16Applied Materials, Inc.Method for forming tungsten materials during vapor deposition processes
US7470611B2 (en)1998-10-012008-12-30Applied Materials, Inc.In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7510634B1 (en)2006-11-102009-03-31Novellus Systems, Inc.Apparatus and methods for deposition and/or etch selectivity
US7514358B2 (en)2002-03-042009-04-07Applied Materials, Inc.Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7585762B2 (en)2007-09-252009-09-08Applied Materials, Inc.Vapor deposition processes for tantalum carbide nitride materials
US20100003406A1 (en)*2008-07-032010-01-07Applied Materials, Inc.Apparatuses and methods for atomic layer deposition
US7645696B1 (en)2006-06-222010-01-12Novellus Systems, Inc.Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7659197B1 (en)2007-09-212010-02-09Novellus Systems, Inc.Selective resputtering of metal seed layers
US7678298B2 (en)2007-09-252010-03-16Applied Materials, Inc.Tantalum carbide nitride materials by vapor deposition processes
US7682966B1 (en)2007-02-012010-03-23Novellus Systems, Inc.Multistep method of depositing metal seed layers
US7682946B2 (en)2005-11-042010-03-23Applied Materials, Inc.Apparatus and process for plasma-enhanced atomic layer deposition
US7732314B1 (en)2001-03-132010-06-08Novellus Systems, Inc.Method for depositing a diffusion barrier for copper interconnect applications
US7745333B2 (en)2000-06-282010-06-29Applied Materials, Inc.Methods for depositing tungsten layers employing atomic layer deposition techniques
US7781327B1 (en)2001-03-132010-08-24Novellus Systems, Inc.Resputtering process for eliminating dielectric damage
US7780788B2 (en)2001-10-262010-08-24Applied Materials, Inc.Gas delivery apparatus for atomic layer deposition
US7794544B2 (en)2004-05-122010-09-14Applied Materials, Inc.Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en)2006-05-052010-09-21Applied Materials, Inc.Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7824743B2 (en)2007-09-282010-11-02Applied Materials, Inc.Deposition processes for titanium nitride barrier and aluminum
US7842605B1 (en)2003-04-112010-11-30Novellus Systems, Inc.Atomic layer profiling of diffusion barrier and metal seed layers
US7855147B1 (en)2006-06-222010-12-21Novellus Systems, Inc.Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7892602B2 (en)2001-12-072011-02-22Applied Materials, Inc.Cyclical deposition of refractory metal silicon nitride
US7897516B1 (en)2007-05-242011-03-01Novellus Systems, Inc.Use of ultra-high magnetic fields in resputter and plasma etching
US7910489B2 (en)2006-02-172011-03-22Lam Research CorporationInfinitely selective photoresist mask etch
US7922880B1 (en)2007-05-242011-04-12Novellus Systems, Inc.Method and apparatus for increasing local plasma density in magnetically confined plasma
US8017523B1 (en)2008-05-162011-09-13Novellus Systems, Inc.Deposition of doped copper seed layers having improved reliability
US8043484B1 (en)2001-03-132011-10-25Novellus Systems, Inc.Methods and apparatus for resputtering process that improves barrier coverage
US8298933B2 (en)2003-04-112012-10-30Novellus Systems, Inc.Conformal films on semiconductor substrates
US20130020026A1 (en)*2011-02-172013-01-24Lam Research CorporationWiggling control for pseudo-hardmask
US8491967B2 (en)2008-09-082013-07-23Applied Materials, Inc.In-situ chamber treatment and deposition process
US8668816B2 (en)1999-10-082014-03-11Applied Materials Inc.Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8679972B1 (en)2001-03-132014-03-25Novellus Systems, Inc.Method of depositing a diffusion barrier for copper interconnect applications
WO2014099559A1 (en)*2012-12-212014-06-26Applied Materials, Inc.Single-body electrostatic chuck
US20140315346A1 (en)*2011-12-052014-10-23NexcisInterface between a i/iii/vi2 layer and a back contact layer in a photovoltaic cell
US9034199B2 (en)2012-02-212015-05-19Applied Materials, Inc.Ceramic article with reduced surface defect density and process for producing a ceramic article
US9090046B2 (en)2012-04-162015-07-28Applied Materials, Inc.Ceramic coated article and process for applying ceramic coating
US9184060B1 (en)*2014-11-142015-11-10Lam Research CorporationPlated metal hard mask for vertical NAND hole etch
US9212099B2 (en)2012-02-222015-12-15Applied Materials, Inc.Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en)2012-07-272016-05-17Applied Materials, Inc.Chemistry compatible coating material for advanced device on-wafer particle performance
US9358702B2 (en)2013-01-182016-06-07Applied Materials, Inc.Temperature management of aluminium nitride electrostatic chuck
US9418890B2 (en)2008-09-082016-08-16Applied Materials, Inc.Method for tuning a deposition rate during an atomic layer deposition process
CN105990216A (en)*2015-01-292016-10-05中芯国际集成电路制造(上海)有限公司Formation method of interconnection structure
US9604249B2 (en)2012-07-262017-03-28Applied Materials, Inc.Innovative top-coat approach for advanced device on-wafer particle performance
US9666466B2 (en)2013-05-072017-05-30Applied Materials, Inc.Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9669653B2 (en)2013-03-142017-06-06Applied Materials, Inc.Electrostatic chuck refurbishment
US9685356B2 (en)2012-12-112017-06-20Applied Materials, Inc.Substrate support assembly having metal bonded protective layer
US9771648B2 (en)2004-08-132017-09-26Zond, Inc.Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US9865434B2 (en)2013-06-052018-01-09Applied Materials, Inc.Rare-earth oxide based erosion resistant coatings for semiconductor application
US9887121B2 (en)2013-04-262018-02-06Applied Materials, Inc.Protective cover for electrostatic chuck
US9916998B2 (en)2012-12-042018-03-13Applied Materials, Inc.Substrate support assembly having a plasma resistant protective layer
US10020218B2 (en)2015-11-172018-07-10Applied Materials, Inc.Substrate support assembly with deposited surface features
US10047430B2 (en)1999-10-082018-08-14Applied Materials, Inc.Self-ionized and inductively-coupled plasma for sputtering and resputtering
WO2018202935A1 (en)2017-05-022018-11-08Picosun OyAld apparatus, method and valve
US10501843B2 (en)2013-06-202019-12-10Applied Materials, Inc.Plasma erosion resistant rare-earth oxide based thin film coatings
US20200066645A1 (en)*2016-09-302020-02-27Intel CorporationMicroelectronic devices and methods for enhancing interconnect reliability performance using tungsten containing adhesion layers to enable cobalt interconnects
US11047035B2 (en)2018-02-232021-06-29Applied Materials, Inc.Protective yttria coating for semiconductor equipment parts

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US6620723B1 (en)2000-06-272003-09-16Applied Materials, Inc.Formation of boride barrier layers using chemisorption techniques
US9051641B2 (en)2001-07-252015-06-09Applied Materials, Inc.Cobalt deposition on barrier surfaces
US20090004850A1 (en)2001-07-252009-01-01Seshadri GanguliProcess for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en)2001-07-252003-02-13Applied Materials, Inc.An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (en)2001-07-252005-02-17アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
US8110489B2 (en)2001-07-252012-02-07Applied Materials, Inc.Process for forming cobalt-containing materials
US6936906B2 (en)2001-09-262005-08-30Applied Materials, Inc.Integration of barrier layer and seed layer
US7049226B2 (en)2001-09-262006-05-23Applied Materials, Inc.Integration of ALD tantalum nitride for copper metallization
US7780785B2 (en)*2001-10-262010-08-24Applied Materials, Inc.Gas delivery apparatus for atomic layer deposition
AU2003238853A1 (en)*2002-01-252003-09-02Applied Materials, Inc.Apparatus for cyclical deposition of thin films
US6911391B2 (en)2002-01-262005-06-28Applied Materials, Inc.Integration of titanium and titanium nitride layers
US6833161B2 (en)2002-02-262004-12-21Applied Materials, Inc.Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en)2002-04-162007-10-09Applied Materials, Inc.System and method for forming an integrated barrier layer
US7186385B2 (en)2002-07-172007-03-06Applied Materials, Inc.Apparatus for providing gas to a processing chamber
US7097886B2 (en)*2002-12-132006-08-29Applied Materials, Inc.Deposition process for high aspect ratio trenches
JP2004207281A (en)*2002-12-202004-07-22Fujitsu Ltd Multilayer wiring structure, method of forming the same, and semiconductor device
JP4134755B2 (en)*2003-02-282008-08-20ヤマハ株式会社 Speaker array drive device
US20040175926A1 (en)*2003-03-072004-09-09Advanced Micro Devices, Inc.Method for manufacturing a semiconductor component having a barrier-lined opening
US7357115B2 (en)*2003-03-312008-04-15Lam Research CorporationWafer clamping apparatus and method for operating the same
US20040229477A1 (en)*2003-05-132004-11-18Daniel Timothy J.Apparatus and method for producing a <111> orientation aluminum film for an integrated circuit device
US7268075B2 (en)*2003-05-162007-09-11Intel CorporationMethod to reduce the copper line roughness for increased electrical conductivity of narrow interconnects (<100nm)
US20060249370A1 (en)*2003-09-152006-11-09Makoto NagashimaBack-biased face target sputtering based liquid crystal display device
US7335288B2 (en)*2003-09-182008-02-26Novellus Systems, Inc.Methods for depositing copper on a noble metal layer of a work piece
US7098537B2 (en)*2003-11-212006-08-29International Business Machines CorporationInterconnect structure diffusion barrier with high nitrogen content
US20050200026A1 (en)*2004-03-102005-09-15Taiwan Semiconductor Manufacturing Co. Ltd.Contact structure for nanometer characteristic dimensions
US7605469B2 (en)*2004-06-302009-10-20Intel CorporationAtomic layer deposited tantalum containing adhesion layer
US7241686B2 (en)*2004-07-202007-07-10Applied Materials, Inc.Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7282802B2 (en)*2004-10-142007-10-16International Business Machines CorporationModified via bottom structure for reliability enhancement
CN100364057C (en)*2004-11-242008-01-23中芯国际集成电路制造(上海)有限公司 Method and system for metal barrier and seed integration
US7571698B2 (en)*2005-01-102009-08-11Applied Materials, Inc.Low-frequency bias power in HDP-CVD processes
US8211230B2 (en)*2005-01-182012-07-03Asm America, Inc.Reaction system for growing a thin film
US20060162658A1 (en)*2005-01-272006-07-27Applied Materials, Inc.Ruthenium layer deposition apparatus and method
US7651934B2 (en)2005-03-182010-01-26Applied Materials, Inc.Process for electroless copper deposition
US20060246699A1 (en)*2005-03-182006-11-02Weidman Timothy WProcess for electroless copper deposition on a ruthenium seed
US20060246727A1 (en)*2005-04-272006-11-02Taiwan Semiconductor Manufacturing Company, Ltd.Integrated dual damascene clean apparatus and process
US7538024B2 (en)*2005-05-032009-05-26United Microelectronics Corp.Method of fabricating a dual-damascene copper structure
US7317229B2 (en)*2005-07-202008-01-08Applied Materials, Inc.Gate electrode structures and methods of manufacture
US20070065576A1 (en)*2005-09-092007-03-22Vikram SinghTechnique for atomic layer deposition
DE102005046975A1 (en)*2005-09-302007-04-05Advanced Micro Devices, Inc., SunnyvaleProcess to manufacture a semiconductor component with aperture cut through a dielectric material stack
US7994047B1 (en)*2005-11-222011-08-09Spansion LlcIntegrated circuit contact system
US20070158179A1 (en)*2006-01-112007-07-12Anthony CiancioMethod and apparatus for improving symmetry of a layer deposited on a semiconductor substrate
TWI395335B (en)*2006-06-302013-05-01Applied Materials Inc Formation of nanocrystals
US8454810B2 (en)2006-07-142013-06-044D-S Pty Ltd.Dual hexagonal shaped plasma source
US8308915B2 (en)*2006-09-142012-11-134D-S Pty Ltd.Systems and methods for magnetron deposition
US8034406B2 (en)*2006-09-262011-10-11Tokyo Electron LimitedIntegrated substrate processing in a vacuum processing tool
US8791018B2 (en)*2006-12-192014-07-29Spansion LlcMethod of depositing copper using physical vapor deposition
US7939932B2 (en)*2007-06-202011-05-10Analog Devices, Inc.Packaged chip devices with atomic layer deposition protective films
WO2009020129A1 (en)*2007-08-082009-02-12Ulvac, Inc.Plasma processing method and plasma processing apparatus
US20090079080A1 (en)*2007-09-242009-03-26Infineon Technologies AgSemiconductor Device with Multi-Layer Metallization
EP2215282B1 (en)*2007-10-112016-11-30Valence Process Equipment, Inc.Chemical vapor deposition reactor
US20090179328A1 (en)*2008-01-142009-07-16International Business Machines CorporationBarrier sequence for use in copper interconnect metallization
US8252690B2 (en)*2008-02-142012-08-28Taiwan Semiconductor Manufacturing Company, Ltd.In situ Cu seed layer formation for improving sidewall coverage
DE102008030847B4 (en)*2008-06-302010-07-29Advanced Micro Devices, Inc., Sunnyvale Reduction of contamination of semiconductor substrates during deposition of the metallization by performing a deposition / etch cycle during barrier deposition
US20100096255A1 (en)*2008-10-222010-04-22Applied Materials, Inc.Gap fill improvement methods for phase-change materials
US8146896B2 (en)2008-10-312012-04-03Applied Materials, Inc.Chemical precursor ampoule for vapor deposition processes
KR101245769B1 (en)*2009-07-282013-03-20엘아이지에이디피 주식회사Chemical vapor deposition device, guide member for the chemical vapor deposition device and method for manufacturing thin film using the chemical vapor deposition device
US10685815B2 (en)*2009-08-252020-06-16Canon Anelva CorporationPlasma processing apparatus and device manufacturing method
US8580687B2 (en)*2010-09-302013-11-12Infineon Technologies AgSemiconductor structure and method for making same
US8476162B2 (en)*2010-10-272013-07-02Applied Materials, Inc.Methods of forming layers on substrates
JP5947030B2 (en)*2010-12-282016-07-06キヤノンアネルバ株式会社 Substrate processing method and substrate processing apparatus
JP6114525B2 (en)*2011-11-042017-04-12東京エレクトロン株式会社 Method for forming ruthenium oxide film
US8956979B2 (en)2011-11-172015-02-17Skyworks Solutions, Inc.Systems and methods for improving front-side process uniformity by back-side metallization
US9431267B2 (en)2012-12-032016-08-30Applied Materials, Inc.Semiconductor device processing tools and methods for patterning substrates
US8962473B2 (en)*2013-03-152015-02-24Taiwan Semiconductor Manufacturing Co., Ltd.Method of forming hybrid diffusion barrier layer and semiconductor device thereof
JP6118149B2 (en)2013-03-212017-04-19東京エレクトロン株式会社 Ruthenium film forming method and storage medium
CN104233226B (en)*2013-06-092017-06-06北京北方微电子基地设备工艺研究中心有限责任公司A kind of atomic layer deposition apparatus
WO2014204620A1 (en)*2013-06-172014-12-24Applied Materials, Inc.Method for copper plating through silicon vias using wet wafer back contact
WO2015106261A1 (en)*2014-01-132015-07-16Applied Materials, Inc.Self-aligned double patterning with spatial atomic layer deposition
US9920844B2 (en)2014-11-262018-03-20Lam Research CorporationValve manifold deadleg elimination via reentrant flow path
US9631276B2 (en)*2014-11-262017-04-25Lam Research CorporationSystems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
CN106158733A (en)*2015-04-222016-11-23中国科学院微电子研究所Copper interconnection structure and manufacturing method thereof
US11384432B2 (en)*2015-04-222022-07-12Applied Materials, Inc.Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20170040140A1 (en)*2015-08-062017-02-09Seagate Technology LlcMagnet array for plasma-enhanced chemical vapor deposition
FR3046878B1 (en)*2016-01-192018-05-18Kobus Sas METHOD FOR MANUFACTURING AN INTERCONNECTION COMPRISING A VIA EXTENDING THROUGH A SUBSTRATE
FR3046801B1 (en)2016-01-192020-01-17Kobus Sas METHOD FOR REMOVAL OF A METAL DEPOSIT ON A SURFACE IN AN ENCLOSURE
CN107492509B (en)*2016-06-132019-08-23北京北方华创微电子装备有限公司A kind of wafer goes gas chamber and PVD equipment
US10563304B2 (en)*2017-04-072020-02-18Applied Materials, Inc.Methods and apparatus for dynamically treating atomic layer deposition films in physical vapor deposition chambers
US10157785B2 (en)*2017-05-012018-12-18Taiwan Semiconductor Manufacturing Company, Ltd.Semiconductor device and method
US10276411B2 (en)2017-08-182019-04-30Applied Materials, Inc.High pressure and high temperature anneal chamber
TWI635539B (en)*2017-09-152018-09-11金巨達國際股份有限公司 High-k dielectric layer, manufacturing method thereof and multi-function device for performing the same
US10872803B2 (en)2017-11-032020-12-22Asm Ip Holding B.V.Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en)2017-11-032020-12-22Asm Ip Holding B.V.Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
WO2019177861A1 (en)2018-03-102019-09-19Applied Materials, Inc.Method and apparatus for asymmetric selective physical vapor deposition
US10633740B2 (en)2018-03-192020-04-28Applied Materials, Inc.Methods for depositing coatings on aerospace components
US10636655B2 (en)2018-03-192020-04-28Applied Materials, Inc.Methods for asymmetric deposition of metal on high aspect ratio nanostructures
US11661654B2 (en)2018-04-182023-05-30Lam Research CorporationSubstrate processing systems including gas delivery system with reduced dead legs
US11015252B2 (en)2018-04-272021-05-25Applied Materials, Inc.Protection of components from corrosion
US11009339B2 (en)2018-08-232021-05-18Applied Materials, Inc.Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en)2018-11-292020-04-28Applied Materials, Inc.High pressure annealing of metal gate structures
EP3959356A4 (en)2019-04-262023-01-18Applied Materials, Inc.Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en)2019-05-162023-10-24Applied Materials, Inc.Methods for depositing anti-coking protective coatings on aerospace components
CN110158056A (en)*2019-05-172019-08-23中国科学院宁波材料技术与工程研究所Vacuum coater
US11697879B2 (en)2019-06-142023-07-11Applied Materials, Inc.Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en)2019-09-062022-10-11Applied Materials, Inc.Methods for forming protective coatings containing crystallized aluminum oxide
US12431388B2 (en)2019-10-082025-09-30Eugenus, Inc.Conformal titanium silicon nitride-based thin films and methods of forming same
US11519066B2 (en)2020-05-212022-12-06Applied Materials, Inc.Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en)2020-07-032022-01-06Applied Materials, Inc.Methods for refurbishing aerospace components
US11976002B2 (en)2021-01-052024-05-07Applied Materials, Inc.Methods for encapsulating silver mirrors on optical structures
EP4308746A4 (en)2021-03-192025-08-06Entegris Inc Substrate with fluorinated yttrium coatings and methods for producing and using the substrates
WO2022217241A1 (en)*2021-04-072022-10-13Eugenus, Inc.Conformal titanium silicon nitride-based thin films and methods of forming same
JP7703390B2 (en)*2021-07-302025-07-07株式会社Screenホールディングス SCHEDULE CREATION METHOD, SCHEDULE CREATION DEVICE, SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING SYSTEM, RECORDING MEDIUM, AND SCHEDULE CREATION PROGRAM
US11948784B2 (en)2021-10-212024-04-02Applied Materials, Inc.Tilted PVD source with rotating pedestal
US20240145300A1 (en)*2022-10-312024-05-02Applied Materials, Inc.Buffer Layer for Dielectric Protection in Physical Vapor Deposition Metal Liner Applications
CN115874154B (en)*2023-02-132023-06-02广州粤芯半导体技术有限公司Semiconductor structure, chip, application thereof and film deposition method

Citations (5)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US20020110999A1 (en)*2001-02-142002-08-15Jiong-Ping LuReliable interconnects with low via/contact resistance
US6642146B1 (en)*2001-03-132003-11-04Novellus Systems, Inc.Method of depositing copper seed on semiconductor substrates
US6762125B1 (en)*2001-05-142004-07-13Micron Technology, Inc.Modified facet etch to prevent blown gate oxide and increase etch chamber life
US6784096B2 (en)*2002-09-112004-08-31Applied Materials, Inc.Methods and apparatus for forming barrier layers in high aspect ratio vias
US7026238B2 (en)*1997-05-142006-04-11Applied Materials, Inc.Reliability barrier integration for Cu application

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US6077402A (en)*1997-05-162000-06-20Applied Materials, Inc.Central coil design for ionized metal plasma deposition
US6176983B1 (en)1997-09-032001-01-23Vlsi Technology, Inc.Methods of forming a semiconductor device
US6211073B1 (en)1998-02-272001-04-03Micron Technology, Inc.Methods for making copper and other metal interconnections in integrated circuits
TW389991B (en)1998-09-042000-05-11United Microelectronics CorpMethod for producing copper interconnect
US6080285A (en)1998-09-142000-06-27Applied Materials, Inc.Multiple step ionized metal plasma deposition process for conformal step coverage
US6100200A (en)1998-12-212000-08-08Advanced Technology Materials, Inc.Sputtering process for the conformal deposition of a metallization or insulating layer
US6333560B1 (en)1999-01-142001-12-25International Business Machines CorporationProcess and structure for an interlock and high performance multilevel structures for chip interconnects and packaging technologies
US6399479B1 (en)1999-08-302002-06-04Applied Materials, Inc.Processes to improve electroplating fill
US6193855B1 (en)1999-10-192001-02-27Applied Materials, Inc.Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6344419B1 (en)1999-12-032002-02-05Applied Materials, Inc.Pulsed-mode RF bias for sidewall coverage improvement
US6277249B1 (en)2000-01-212001-08-21Applied Materials Inc.Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6284657B1 (en)2000-02-252001-09-04Chartered Semiconductor Manufacturing Ltd.Non-metallic barrier formation for copper damascene type interconnects
US6436267B1 (en)2000-08-292002-08-20Applied Materials, Inc.Method for achieving copper fill of high aspect ratio interconnect features
US6498091B1 (en)2000-11-012002-12-24Applied Materials, Inc.Method of using a barrier sputter reactor to remove an underlying barrier layer
US6537901B2 (en)*2000-12-292003-03-25Hynix Semiconductor Inc.Method of manufacturing a transistor in a semiconductor device
US6852167B2 (en)*2001-03-012005-02-08Micron Technology, Inc.Methods, systems, and apparatus for uniform chemical-vapor depositions
US6852194B2 (en)*2001-05-212005-02-08Tokyo Electron LimitedProcessing apparatus, transferring apparatus and transferring method
US6554969B1 (en)2001-07-112003-04-29Advanced Micro Devices, Inc.Acoustically enhanced deposition processes, and systems for performing same
US6756318B2 (en)*2001-09-102004-06-29Tegal CorporationNanolayer thick film processing system and method
US6936906B2 (en)2001-09-262005-08-30Applied Materials, Inc.Integration of barrier layer and seed layer
US20030059538A1 (en)2001-09-262003-03-27Applied Materials, Inc.Integration of barrier layer and seed layer
US20030057526A1 (en)2001-09-262003-03-27Applied Materials, Inc.Integration of barrier layer and seed layer
US7138014B2 (en)2002-01-282006-11-21Applied Materials, Inc.Electroless deposition apparatus
US20030143837A1 (en)2002-01-282003-07-31Applied Materials, Inc.Method of depositing a catalytic layer
US6824666B2 (en)2002-01-282004-11-30Applied Materials, Inc.Electroless deposition method over sub-micron apertures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US7026238B2 (en)*1997-05-142006-04-11Applied Materials, Inc.Reliability barrier integration for Cu application
US20020110999A1 (en)*2001-02-142002-08-15Jiong-Ping LuReliable interconnects with low via/contact resistance
US6642146B1 (en)*2001-03-132003-11-04Novellus Systems, Inc.Method of depositing copper seed on semiconductor substrates
US6762125B1 (en)*2001-05-142004-07-13Micron Technology, Inc.Modified facet etch to prevent blown gate oxide and increase etch chamber life
US6784096B2 (en)*2002-09-112004-08-31Applied Materials, Inc.Methods and apparatus for forming barrier layers in high aspect ratio vias

Cited By (128)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US7470611B2 (en)1998-10-012008-12-30Applied Materials, Inc.In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7670945B2 (en)1998-10-012010-03-02Applied Materials, Inc.In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US10047430B2 (en)1999-10-082018-08-14Applied Materials, Inc.Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en)1999-10-082014-04-15Applied Materials, Inc.Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8668816B2 (en)1999-10-082014-03-11Applied Materials Inc.Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7745333B2 (en)2000-06-282010-06-29Applied Materials, Inc.Methods for depositing tungsten layers employing atomic layer deposition techniques
US7846840B2 (en)2000-06-282010-12-07Applied Materials, Inc.Method for forming tungsten materials during vapor deposition processes
US7709385B2 (en)2000-06-282010-05-04Applied Materials, Inc.Method for depositing tungsten-containing layers by vapor deposition techniques
US20070218688A1 (en)*2000-06-282007-09-20Ming XiMethod for depositing tungsten-containing layers by vapor deposition techniques
US7674715B2 (en)2000-06-282010-03-09Applied Materials, Inc.Method for forming tungsten materials during vapor deposition processes
US7465666B2 (en)2000-06-282008-12-16Applied Materials, Inc.Method for forming tungsten materials during vapor deposition processes
US7465665B2 (en)2000-06-282008-12-16Applied Materials, Inc.Method for depositing tungsten-containing layers by vapor deposition techniques
US20050255690A1 (en)*2000-11-012005-11-17Ling ChenMulti-step barrier deposition method
US7576002B2 (en)*2000-11-012009-08-18Applied Materials, Inc.Multi-step barrier deposition method
US8679972B1 (en)2001-03-132014-03-25Novellus Systems, Inc.Method of depositing a diffusion barrier for copper interconnect applications
US9099535B1 (en)2001-03-132015-08-04Novellus Systems, Inc.Method of depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en)2001-03-132010-08-24Novellus Systems, Inc.Resputtering process for eliminating dielectric damage
US7732314B1 (en)2001-03-132010-06-08Novellus Systems, Inc.Method for depositing a diffusion barrier for copper interconnect applications
US9508593B1 (en)2001-03-132016-11-29Novellus Systems, Inc.Method of depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en)2001-03-132011-10-25Novellus Systems, Inc.Methods and apparatus for resputtering process that improves barrier coverage
US7780788B2 (en)2001-10-262010-08-24Applied Materials, Inc.Gas delivery apparatus for atomic layer deposition
US8668776B2 (en)2001-10-262014-03-11Applied Materials, Inc.Gas delivery apparatus and method for atomic layer deposition
US7892602B2 (en)2001-12-072011-02-22Applied Materials, Inc.Cyclical deposition of refractory metal silicon nitride
US7867896B2 (en)2002-03-042011-01-11Applied Materials, Inc.Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7514358B2 (en)2002-03-042009-04-07Applied Materials, Inc.Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20040094402A1 (en)*2002-08-012004-05-20Applied Materials, Inc.Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US9062372B2 (en)2002-08-012015-06-23Applied Materials, Inc.Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7504006B2 (en)2002-08-012009-03-17Applied Materials, Inc.Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7547644B2 (en)2002-09-112009-06-16Applied Materials, Inc.Methods and apparatus for forming barrier layers in high aspect ratio vias
US20060057843A1 (en)*2002-09-112006-03-16Applied Materials, Inc.Methods and apparatus for forming barrier layers in high aspect ratio vias
US7977390B2 (en)2002-10-112011-07-12Lam Research CorporationMethod for plasma etching performance enhancement
US20070026677A1 (en)*2002-10-112007-02-01Lam Research CorporationMethod for plasma etching performance enhancement
US7402210B2 (en)2002-11-142008-07-22Applied Materials, Inc.Apparatus and method for hybrid chemical processing
US7591907B2 (en)2002-11-142009-09-22Applied Materials, Inc.Apparatus for hybrid chemical processing
US20050136682A1 (en)*2003-04-092005-06-23Lam Research CorporationMethod for plasma etching using periodic modulation of gas chemistry
US9117884B1 (en)2003-04-112015-08-25Novellus Systems, Inc.Conformal films on semiconductor substrates
US7842605B1 (en)2003-04-112010-11-30Novellus Systems, Inc.Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en)2003-04-112012-10-30Novellus Systems, Inc.Conformal films on semiconductor substrates
US8765596B1 (en)2003-04-112014-07-01Novellus Systems, Inc.Atomic layer profiling of diffusion barrier and metal seed layers
US7211508B2 (en)2003-06-182007-05-01Applied Materials, Inc.Atomic layer deposition of tantalum based barrier materials
US7595263B2 (en)2003-06-182009-09-29Applied Materials, Inc.Atomic layer deposition of barrier materials
US8343279B2 (en)2004-05-122013-01-01Applied Materials, Inc.Apparatuses for atomic layer deposition
US8282992B2 (en)2004-05-122012-10-09Applied Materials, Inc.Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US7794544B2 (en)2004-05-122010-09-14Applied Materials, Inc.Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20050274622A1 (en)*2004-06-102005-12-15Zhi-Wen SunPlating chemistry and method of single-step electroplating of copper on a barrier metal
US9771648B2 (en)2004-08-132017-09-26Zond, Inc.Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7442638B2 (en)*2005-09-302008-10-28Advanced Micro Devices, Inc.Method for forming a tungsten interconnect structure with enhanced sidewall coverage of the barrier layer
US20070077749A1 (en)*2005-09-302007-04-05Kai FrohbergMethod for forming a tungsten interconnect structure with enhanced sidewall coverage of the barrier layer
US9032906B2 (en)2005-11-042015-05-19Applied Materials, Inc.Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en)2005-11-042010-03-23Applied Materials, Inc.Apparatus and process for plasma-enhanced atomic layer deposition
US7850779B2 (en)2005-11-042010-12-14Applied Materisals, Inc.Apparatus and process for plasma-enhanced atomic layer deposition
US20080288108A1 (en)*2005-12-222008-11-20Carl Zeiss Smt AgProjection objective with decentralized control
US7910489B2 (en)2006-02-172011-03-22Lam Research CorporationInfinitely selective photoresist mask etch
US7798096B2 (en)2006-05-052010-09-21Applied Materials, Inc.Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7645696B1 (en)2006-06-222010-01-12Novellus Systems, Inc.Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en)2006-06-222010-12-21Novellus Systems, Inc.Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US8858763B1 (en)2006-11-102014-10-14Novellus Systems, Inc.Apparatus and methods for deposition and/or etch selectivity
US7510634B1 (en)2006-11-102009-03-31Novellus Systems, Inc.Apparatus and methods for deposition and/or etch selectivity
US7682966B1 (en)2007-02-012010-03-23Novellus Systems, Inc.Multistep method of depositing metal seed layers
US8298936B1 (en)2007-02-012012-10-30Novellus Systems, Inc.Multistep method of depositing metal seed layers
US8449731B1 (en)2007-05-242013-05-28Novellus Systems, Inc.Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en)2007-05-242011-03-01Novellus Systems, Inc.Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en)2007-05-242011-04-12Novellus Systems, Inc.Method and apparatus for increasing local plasma density in magnetically confined plasma
US7659197B1 (en)2007-09-212010-02-09Novellus Systems, Inc.Selective resputtering of metal seed layers
US7585762B2 (en)2007-09-252009-09-08Applied Materials, Inc.Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en)2007-09-252010-03-16Applied Materials, Inc.Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en)2007-09-282010-11-02Applied Materials, Inc.Deposition processes for titanium nitride barrier and aluminum
US8017523B1 (en)2008-05-162011-09-13Novellus Systems, Inc.Deposition of doped copper seed layers having improved reliability
US20120000422A1 (en)*2008-07-032012-01-05Applied Materials, Inc.Apparatuses and methods for atomic layer deposition
US20130008984A1 (en)*2008-07-032013-01-10Applied Materials, Inc.Apparatuses and methods for atomic layer deposition
US8747556B2 (en)*2008-07-032014-06-10Applied Materials, Inc.Apparatuses and methods for atomic layer deposition
US8293015B2 (en)*2008-07-032012-10-23Applied Materials, Inc.Apparatuses and methods for atomic layer deposition
US8291857B2 (en)*2008-07-032012-10-23Applied Materials, Inc.Apparatuses and methods for atomic layer deposition
US9017776B2 (en)2008-07-032015-04-28Applied Materials, Inc.Apparatuses and methods for atomic layer deposition
US20100003406A1 (en)*2008-07-032010-01-07Applied Materials, Inc.Apparatuses and methods for atomic layer deposition
US9418890B2 (en)2008-09-082016-08-16Applied Materials, Inc.Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en)2008-09-082013-07-23Applied Materials, Inc.In-situ chamber treatment and deposition process
US20130020026A1 (en)*2011-02-172013-01-24Lam Research CorporationWiggling control for pseudo-hardmask
US8470126B2 (en)*2011-02-172013-06-25Lam Research CorporationWiggling control for pseudo-hardmask
US20140315346A1 (en)*2011-12-052014-10-23NexcisInterface between a i/iii/vi2 layer and a back contact layer in a photovoltaic cell
US9478695B2 (en)*2011-12-052016-10-25NexcisInterface between a I/III/VI2 layer and a back contact layer in a photovoltaic cell
US10336656B2 (en)2012-02-212019-07-02Applied Materials, Inc.Ceramic article with reduced surface defect density
US9034199B2 (en)2012-02-212015-05-19Applied Materials, Inc.Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en)2012-02-222015-12-15Applied Materials, Inc.Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US11279661B2 (en)2012-02-222022-03-22Applied Materials, Inc.Heat treated ceramic substrate having ceramic coating
US10364197B2 (en)2012-02-222019-07-30Applied Materials, Inc.Heat treated ceramic substrate having ceramic coating
US9090046B2 (en)2012-04-162015-07-28Applied Materials, Inc.Ceramic coated article and process for applying ceramic coating
US9604249B2 (en)2012-07-262017-03-28Applied Materials, Inc.Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en)2012-07-272016-05-17Applied Materials, Inc.Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en)2012-12-042018-03-13Applied Materials, Inc.Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en)2012-12-112017-06-20Applied Materials, Inc.Substrate support assembly having metal bonded protective layer
US8941969B2 (en)2012-12-212015-01-27Applied Materials, Inc.Single-body electrostatic chuck
WO2014099559A1 (en)*2012-12-212014-06-26Applied Materials, Inc.Single-body electrostatic chuck
US9358702B2 (en)2013-01-182016-06-07Applied Materials, Inc.Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en)2013-03-142017-06-06Applied Materials, Inc.Electrostatic chuck refurbishment
US11179965B2 (en)2013-03-142021-11-23Applied Materials, Inc.Electrostatic chuck optimized for refurbishment
US10056284B2 (en)2013-03-142018-08-21Applied Materials, Inc.Electrostatic chuck optimized for refurbishment
US10541171B2 (en)2013-04-262020-01-21Applied Materials, Inc.Protective cover for electrostatic chuck
US9887121B2 (en)2013-04-262018-02-06Applied Materials, Inc.Protective cover for electrostatic chuck
US10177023B2 (en)2013-04-262019-01-08Applied Materials, Inc.Protective cover for electrostatic chuck
US11088005B2 (en)2013-05-072021-08-10Applied Materials, Inc.Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9991148B2 (en)2013-05-072018-06-05Applied Materials, Inc.Electrostatic chuck having thermally isolated zones with minimal crosstalk
US10304715B2 (en)2013-05-072019-05-28Applied Materials, Inc.Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9666466B2 (en)2013-05-072017-05-30Applied Materials, Inc.Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en)2013-06-052018-01-09Applied Materials, Inc.Rare-earth oxide based erosion resistant coatings for semiconductor application
US10734202B2 (en)2013-06-052020-08-04Applied Materials, Inc.Rare-earth oxide based erosion resistant coatings for semiconductor application
US11053581B2 (en)2013-06-202021-07-06Applied Materials, Inc.Plasma erosion resistant rare-earth oxide based thin film coatings
US10501843B2 (en)2013-06-202019-12-10Applied Materials, Inc.Plasma erosion resistant rare-earth oxide based thin film coatings
US11680308B2 (en)2013-06-202023-06-20Applied Materials, Inc.Plasma erosion resistant rare-earth oxide based thin film coatings
US9184060B1 (en)*2014-11-142015-11-10Lam Research CorporationPlated metal hard mask for vertical NAND hole etch
CN105990216A (en)*2015-01-292016-10-05中芯国际集成电路制造(上海)有限公司Formation method of interconnection structure
US11476146B2 (en)2015-11-172022-10-18Applied Materials, Inc.Substrate support assembly with deposited surface features
US10679885B2 (en)2015-11-172020-06-09Applied Materials, Inc.Substrate support assembly with deposited surface features
US11769683B2 (en)2015-11-172023-09-26Applied Materials, Inc.Chamber component with protective ceramic coating containing yttrium, aluminum and oxygen
US10020218B2 (en)2015-11-172018-07-10Applied Materials, Inc.Substrate support assembly with deposited surface features
US20200066645A1 (en)*2016-09-302020-02-27Intel CorporationMicroelectronic devices and methods for enhancing interconnect reliability performance using tungsten containing adhesion layers to enable cobalt interconnects
WO2018202935A1 (en)2017-05-022018-11-08Picosun OyAld apparatus, method and valve
EP3619335A4 (en)*2017-05-022021-03-10Picosun OyAld apparatus, method and valve
EP3619336A4 (en)*2017-05-022020-12-30Picosun Oy DEVICE WITH VALVE AND METHOD OF OPERATION
TWI754021B (en)*2017-05-022022-02-01芬蘭商皮寇桑公司Apparatus with a valve and method of operation
KR20200003098A (en)*2017-05-022020-01-08피코순 오와이 Devices with valves and how they work
KR102411152B1 (en)2017-05-022022-06-21피코순 오와이 ALD devices, methods and valves
KR20190141241A (en)*2017-05-022019-12-23피코순 오와이 ALD Devices, Methods and Valves
KR102527076B1 (en)*2017-05-022023-04-28피코순 오와이 Devices with valves and methods of operation
CN110582591A (en)*2017-05-022019-12-17皮考逊公司 Atomic layer deposition apparatus, method and valve
US11761082B2 (en)*2017-05-022023-09-19Picosun OyALD apparatus, method and valve
CN110573654A (en)*2017-05-022019-12-13皮考逊公司 Device with valve and method of operation
US11047035B2 (en)2018-02-232021-06-29Applied Materials, Inc.Protective yttria coating for semiconductor equipment parts

Also Published As

Publication numberPublication date
US6974771B2 (en)2005-12-13
US20040048461A1 (en)2004-03-11
US6784096B2 (en)2004-08-31
US20060057843A1 (en)2006-03-16
US7547644B2 (en)2009-06-16
US20040266175A1 (en)2004-12-30

Similar Documents

PublicationPublication DateTitle
US7547644B2 (en)Methods and apparatus for forming barrier layers in high aspect ratio vias
US9062372B2 (en)Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US8668816B2 (en)Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6562715B1 (en)Barrier layer structure for copper metallization and method of forming the structure
US6936906B2 (en)Integration of barrier layer and seed layer
US7026238B2 (en)Reliability barrier integration for Cu application
US20020117399A1 (en)Atomically thin highly resistive barrier layer in a copper via
US20030059538A1 (en)Integration of barrier layer and seed layer
US20030057526A1 (en)Integration of barrier layer and seed layer
US10047430B2 (en)Self-ionized and inductively-coupled plasma for sputtering and resputtering
US20100075494A1 (en)Integration of ald tantalum nitride for copper metallization
US20080081464A1 (en)Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en)Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20020132473A1 (en)Integrated barrier layer structure for copper contact level metallization
WO2003028090A2 (en)Integration of barrier layer and seed layer
US6528180B1 (en)Liner materials
US20050252765A1 (en)Method and apparatus for forming a barrier layer on a substrate
US20020093101A1 (en)Method of metallization using a nickel-vanadium layer
US20240213088A1 (en)Subtractive metals and subtractive metal semiconductor structures
US11562925B2 (en)Method of depositing multilayer stack including copper over features of a device structure
US20250277312A1 (en)Sacrificial liner for copper interconnect

Legal Events

DateCodeTitleDescription
STCBInformation on status: application discontinuation

Free format text:ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION


[8]ページ先頭

©2009-2025 Movatter.jp