CROSS-REFERENCE TO RELATED APPLICATIONS This application is a divisional of copending U.S. patent application Ser. No. 11/063,645 filed on Feb. 22, 2005, which claims benefit of U.S. provisional patent application Ser. No. 60/547,839, filed Feb. 26, 2004, which are herein incorporated by reference.
BACKGROUND OF THE INVENTION 1. Field of the Invention
Embodiments of the present invention generally relate to semiconductor processing equipment. More particularly, embodiments of the present invention relate to a chemical vapor deposition (CVD) system for semiconductor fabrication and in situ dry cleaning methods using the same.
2. Description of the Related Art
A native oxide typically forms when a substrate surface is exposed to oxygen. Oxygen exposure occurs when the substrate is moved between processing chambers at atmospheric conditions, or when a small amount of oxygen remaining in a vacuum chamber contacts the substrate surface. Native oxides may also result if the substrate surface is contaminated during etching. Native oxides typically form an undesirable film on the substrate surface. Native oxide films are usually very thin, such as between 5 and 20 angstroms, but thick enough to cause difficulties in subsequent fabrication processes.
Such difficulties usually affect the electrical properties of semiconductor devices formed on the substrate. For example, a particular problem arises when native silicon oxide films are formed on exposed silicon containing layers, especially during processing of Metal Oxide Silicon Field Effect Transistor (“MOSFET”) structures. Silicon oxide films are electrically insulating and are undesirable at interfaces with contact electrodes or interconnecting electrical pathways because they cause high electrical contact resistance. In MOSFET structures, the electrodes and interconnecting pathways include silicide layers formed by depositing a refractory metal on bare silicon and annealing the layer to produce the metal silicide layer. Native silicon oxide films at the interface between the substrate and the metal reduce the compositional uniformity of the suicide layer by impeding the diffusional chemical reaction that forms the metal silicide. This results in lower substrate yields and increased failure rates due to overheating at the electrical contacts. The native silicon oxide film can also prevent adhesion of other CVD or sputtered layers which are subsequently deposited on the substrate.
Sputter etch processes have been tried to reduce contaminants in large features or in small features having aspect ratios smaller than about 4:1. However, sputter etch processes can damage delicate silicon layers by physical bombardment. In response, wet etch processes using hydrofluoric (HF) acid and deionized water, for example, have also been tried. Wet etch processes such as this, however, are disadvantageous in today's smaller devices where the aspect ratio exceeds 4:1, and especially where the aspect ratio exceeds 10:1. Particularly, the wet solution cannot penetrate into those sizes of vias, contacts, or other features formed within the substrate surface. As a result, the removal of the native oxide film is incomplete. Similarly, a wet etch solution, if successful in penetrating a feature of that size, is even more difficult to remove from the feature once etching is complete.
Another approach for eliminating native oxide films is a dry etch process, such as one utilizing fluorine-containing gases. One disadvantage to using fluorine-containing gases, however, is that fluorine is typically left behind on the substrate surface. Fluorine atoms or fluorine radicals left behind on the substrate surface can be detrimental. For example, the fluorine atoms left behind can continue to etch the substrate causing voids therein.
A more recent approach to remove native oxide films has been to form a fluorine/silicon-containing salt on the substrate surface that is subsequently removed by thermal anneal. In this approach, a thin layer of the salt is formed by reacting a fluorine-containing gas with the silicon oxide surface. The salt is then heated to an elevated temperature sufficient to dissociate the salt into volatile by-products which are then removed from the processing chamber. The formation of a reactive fluorine-containing gas is usually assisted by thermal addition or by plasma energy. The salt is usually formed at a reduced temperature that requires cooling of the substrate surface. This sequence of cooling followed by heating is usually accomplished by transferring the substrate from a cooling chamber where the substrate is cooled to a separate anneal chamber or furnace where the substrate is heated.
For various reasons, this reactive fluorine processing sequence is not desirable. Namely, wafer throughput is greatly diminished because of the time involved to transfer the wafer. Also, the wafer is highly susceptible to further oxidation or other contamination during the transfer. Moreover, the cost of ownership is doubled because two separate chambers are needed to complete the oxide removal process.
There is a need, therefore, for a processing chamber capable of remote plasma generation, heating and cooling, and thereby capable of performing a single dry etch process in a single chamber (i.e. in-situ).
SUMMARY OF THE INVENTION A substrate support assembly is provided. In at least one embodiment, the support assembly includes a body having one or more fluid conduits disposed therethrough, and a support member disposed on a first end of the body. The support member includes one or more fluid channels formed in an upper surface thereof, wherein each fluid channel is in communication with the one or more of the fluid conduits. The support assembly also includes a cooling medium source in fluid communication with the one or more fluid conduits, and a first electrode having a plurality of holes formed therethrough. The first electrode is disposed on the upper surface of the support member such that each of the plurality of holes is in fluid communication with at least one of the one or more fluid channels formed in the upper surface of the support member.
In at least one other embodiment, the support assembly includes a body having at least one gas conduit and at least two liquid conduits disposed therethrough, and a support member disposed on a first end of the body. The support member includes a channel formed in an upper surface thereof that is in fluid communication with the at least one gas conduit. The support member also includes a heat exchange passage formed therein that is in fluid communication with the at least two liquid conduits. The support assembly also includes a first electrode having a plurality of holes formed therethrough. The first electrode is disposed on the upper surface of the support member such that each of the plurality of holes is in fluid communication with the channel formed in the upper surface of the support member.
A method for supporting and cooling a substrate is also provided. In at least one embodiment, the method includes providing the substrate support assembly; applying a vacuum to the first electrode for engaging a substrate, wherein the vacuum is applied through the one or more fluid passages formed in the body; flowing a purge gas to a backside of the substrate through the one or more fluid channels formed in the support member; and cooling the substrate by flowing a cooling medium through the fluid passage formed within the body.
BRIEF DESCRIPTION OF THE DRAWINGS So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1A shows a partial cross sectional view of anillustrative processing chamber100 for heating, cooling, and etching.
FIG. 1B shows an enlarged schematic view of an illustrative liner disposed within the processing chamber ofFIG. 1A.
FIG. 2A shows an enlarged cross sectional view of an illustrative lid assembly that can be disposed at an upper end of the chamber body shown inFIG. 1A.
FIGS. 2B and 2C show enlarged schematic views of the gas distribution plate ofFIG. 2A.
FIG. 3A shows a partial cross sectional view of an illustrative support assembly, which is at least partially disposed within thechamber body112 ofFIG. 1A.
FIG. 3B shows an enlarged partial cross sectional view of theillustrative support member300 ofFIG. 3A.
FIG. 4A shows a schematic cross sectional view of anotherillustrative lid assembly400.
FIG. 4B shows an enlarged schematic, partial cross sectional view of the upper electrode ofFIG. 4A.
FIG. 4C shows a partial cross sectional view of theillustrative processing chamber100 utilizing thelid assembly400 ofFIG. 4A.
FIGS. 5A-5H are sectional schematic views of a fabrication sequence for forming an illustrative active electronic device, such as a MOSFET structure.
FIG. 6 is a schematic diagram of an exemplary multi-chamber processing system adapted to perform multiple processing operations.
DETAILED DESCRIPTION A processing chamber for any number of substrate processing techniques is provided. The chamber is particularly useful for performing a plasma assisted dry etch process that requires both heating and cooling of the substrate surface without breaking vacuum. For example, the processing chamber described herein is envisioned to be best suited for a front-end-of line (FEOL) clean chamber for removing oxides and other contaminants from a substrate surface.
A “substrate surface”, as used herein, refers to any substrate surface upon which processing is performed. For example, a substrate surface may include silicon, silicon oxide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. A substrate surface may also include dielectric materials such as silicon dioxide, organosilicates, and carbon doped silicon oxides. The substrate itself is not limited to any particular size or shape. In one aspect, the term “substrate” refers to a round wafer having a 200 mm diameter or 300 mm diameter. In another aspect, the term “substrate” refers to any polygonal, squared, rectangular, curved or otherwise non-circular workpiece, such as a glass substrate used in the fabrication of flat panel displays, for example.
FIG. 1A is a partial cross sectional view showing anillustrative processing chamber100. In one embodiment, theprocessing chamber100 includes achamber body112, alid assembly200, and asupport assembly300. Thelid assembly200 is disposed at an upper end of thechamber body112, and thesupport assembly300 is at least partially disposed within thechamber body112. Theprocessing chamber100 and the associated hardware are preferably formed from one or more process-compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061-T6, stainless steel, as well as combinations and alloys thereof, for example.
Thechamber body112 includes a slit valve opening160 formed in a sidewall thereof to provide access to the interior of theprocessing chamber100. Theslit valve opening160 is selectively opened and closed to allow access to the interior of thechamber body112 by a wafer handling robot (not shown). Wafer handling robots are well known to those with skill in the art, and any suitable robot may be used. For example, an exemplary robotic transfer assembly has been described in a commonly assigned U.S. Pat. No. 4,951,601, entitled “Multi-chamber Integrated Process System,” issued Aug. 28, 1990, the complete disclosure of which is incorporated herein by reference. In one embodiment, a wafer can be transported in and out of theprocessing chamber100 through the slit valve opening160 to an adjacent transfer chamber and/or load-lock chamber, or another chamber within a cluster tool. A cluster tool of a type that can be coupled to theprocessing chamber100 is described in a commonly assigned U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing System and Method”, issued Feb. 16, 1993, and is herein incorporated by reference.
In one or more embodiments, thechamber body112 includes achannel113 formed therein for flowing a heat transfer fluid therethrough. The heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of thechamber body112 during processing and substrate transfer. The temperature of thechamber body112 is important to prevent unwanted condensation of the gas or byproducts on the chamber walls. Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof. An exemplary heat transfer fluid may also include nitrogen gas.
Thechamber body112 can further include aliner133 that surrounds thesupport assembly300. Theliner133 is preferably removable for servicing and cleaning. Theliner133 can be made of a metal such as aluminum, or a ceramic material. However, theliner133 can be any process compatible material. Theliner133 can be bead blasted to increase the adhesion of any material deposited thereon, thereby preventing flaking of material which results in contamination of theprocessing chamber100. In one or more embodiments, theliner133 includes one ormore apertures135 and apumping channel129 formed therein that is in fluid communication with a vacuum system. Theapertures135 provide a flow path for gases into the pumpingchannel129, which provides an egress for the gases within theprocessing chamber100.
The vacuum system can include avacuum pump125 and athrottle valve127 to regulate flow of gases through theprocessing chamber100. Thevacuum pump125 is coupled to avacuum port131 disposed on thechamber body112 and therefore, in fluid communication with the pumpingchannel129 formed within theliner133. The terms “gas” and “gases” are used interchangeably, unless otherwise noted, and refer to one or more precursors, reactants, catalysts, carrier, purge, cleaning, combinations thereof, as well as any other fluid introduced into thechamber body112.
Considering theliner133 in greater detail,FIG. 1B shows an enlarged schematic view of one embodiment of theliner133. In this embodiment, theliner133 includes anupper portion133A and alower portion133B. Anaperture133C that aligns with the slit valve opening160 disposed on a side wall of thechamber body112 is formed within theliner133 to allow entry and egress of substrates to/from thechamber body112. Typically, the pumpingchannel129 is formed within theupper portion133A. Theupper portion133A also includes the one ormore apertures135 formed therethrough to provide passageways or flow paths for gases into the pumpingchannel129.
Referring toFIGS. 1A and 1B, theapertures135 allow thepumping channel129 to be in fluid communication with aprocessing zone140 within thechamber body112. Theprocessing zone140 is defined by a lower surface of thelid assembly200 and an upper surface of thesupport assembly300, and is surrounded by theliner133. Theapertures135 may be uniformly sized and evenly spaced about theliner133. However, any number, position, size or shape of apertures may be used, and each of those design parameters can vary depending on the desired flow pattern of gas across the substrate receiving surface as is discussed in more detail below. In addition, the size, number and position of theapertures135 are configured to achieve uniform flow of gases exiting theprocessing chamber100. Further, the aperture size and location may be configured to provide rapid or high capacity pumping to facilitate a rapid exhaust of gas from thechamber100. For example, the number and size ofapertures135 in close proximity to thevacuum port131 may be smaller than the size ofapertures135 positioned farther away from thevacuum port131.
Still referring toFIGS. 1A and 1B, thelower portion133B of theliner133 includes a flow path orvacuum channel129A disposed therein. Thevacuum channel129A is in fluid communication with the vacuum system described above. Thevacuum channel129A is also in fluid communication with the pumpingchannel129 via a recess orport129B formed in an outer diameter of theliner133. Generally, twogas ports129B (only one shown in this view) are formed in an outer diameter of theliner133 between theupper portion133A and thelower portion133B. Thegas ports129B provide a flow path between the pumpingchannel129 and thevacuum channel129A. The size and location of eachport129B is a matter of design, and are determined by the stoichiometry of a desired film, the geometry of the device being formed, the volume capacity of theprocessing chamber100 as well as the capabilities of the vacuum system coupled thereto. Typically, theports129B are arranged opposite one another or 180 degrees apart about the outer diameter of theliner133.
In operation, one or more gases exiting theprocessing chamber100 flow through theapertures135 formed through theupper portion133A of theliner133 into the pumpingchannel129. The gas then flows within the pumpingchannel129 and through theports129B into thevacuum channel129A. The gas exits thevacuum channel129A through thevacuum port131 into thevacuum pump125.
Considering thelid assembly200 in more detail,FIG. 2A shows an enlarged cross sectional view of anillustrative lid assembly200 that can be disposed at an upper end of thechamber body112 shown inFIG. 1A. Referring toFIGS. 1A and 2A, thelid assembly200 includes a number of components stacked on top of one another, as shown inFIG. 1A. In one or more embodiments, thelid assembly200 includes alid rim210,gas delivery assembly220, and atop plate250. Thegas delivery assembly220 is coupled to an upper surface of thelid rim210 and is arranged to make minimum thermal contact therewith. The components of thelid assembly200 are preferably constructed of a material having a high thermal conductivity and low thermal resistance, such as an aluminum alloy with a highly finished surface for example. Preferably, the thermal resistance of the components is less than about 5×10−4m2K/W. Thelid rim210 is designed to hold the weight of the components making up thelid assembly200 and is coupled to an upper surface of thechamber body112 via a hinge assembly (not shown in this view) to provide access to the internal chamber components, such as thesupport assembly300 for example.
Referring toFIGS. 2B and 2C, thegas delivery assembly220 can include a distribution plate orshowerhead225.FIG. 2B shows an enlarged schematic view of one embodiment of an illustrativegas distribution plate225 andFIG. 2C shows a partial cross sectional view. In one or more embodiments, thedistribution plate225 is substantially disc-shaped and includes a plurality ofapertures225A or passageways to distribute the flow of gases therethrough. Theapertures225A of thedistribution plate225 prevent the gases flowing through thelid assembly200 from impinging directly on the substrate surface below by slowing and re-directing the velocity profile of the flowing gases. Theapertures225A of thedistribution plate225 also evenly distribute the flow of the gas exiting thelid assembly200, thereby providing an even distribution of the gas across the surface of the substrate.
Referring toFIGS. 2A, 2B and2C, thedistribution plate225 further includes anannular mounting flange222 formed at a perimeter thereof, which is sized to rest on thelid rim210. Accordingly, thedistribution plate225 makes minimal contact with thelid assembly200. Preferably, an o-ring type seal224, such as an elastomeric o-ring, is at least partially disposed within the annular mountingflange222 to ensure a fluid-tight contact with thelid rim210.
Thegas delivery assembly220 can further include ablocker assembly230 disposed adjacent thedistribution plate225. Theblocker assembly230 provides an even distribution of gas to the backside of thedistribution plate225. Preferably, theblocker assembly230 is made of an aluminum alloy and is removably coupled to thedistribution plate225 to ensure good thermal contact. For example, theblocker assembly230 can be coupled to thedistribution plate225 using abolt221 or similar fastener. Preferably, theblocker assembly230 makes no thermal contact with thelid rim210 as shown inFIG. 2A.
In one or more embodiments, theblocker assembly230 includes afirst blocker plate233 mounted to asecond blocker plate235. Thesecond blocker plate235 includes apassage259 formed therethrough. Preferably, thepassage259 is centrally located through thesecond blocker plate235 such that thepassage259 is in fluid communication with a first cavity orvolume261 defined by a lower surface of thetop plate250 and an upper surface of thesecond blocker plate235. Thepassage259 is also in fluid communication with a second cavity orvolume262 defined by a lower surface of thesecond blocker plate235 and an upper surface of thefirst blocker plate233. Thepassage259 is also in fluid communication with a third cavity orvolume263 defined by a lower surface of thefirst blocker plate233 and an upper surface of thedistribution plate225. Thepassage259 is coupled to agas inlet223. Thegas inlet223 is coupled to thetop plate250 at a first end thereof. Although not shown, thegas inlet223 is coupled at a second end thereof to one or more upstream gas sources and/or other gas delivery components, such as gas mixers.
Thefirst blocker plate233 includes a plurality ofpassageways233A formed therein that are adapted to disperse the gases flowing from thepassage259 to thegas distribution plate225. Although thepassageways233A are shown as being circular or rounded, thepassageways233A can be square, rectangular, or any other shape. Thepassageways233A can be sized and positioned about theblocker plate233 to provide a controlled and even flow distribution across the surface of the substrate. As described above, thefirst blocker plate233 can easily be removed from thesecond blocker plate235 and from thedistribution plate225 to facilitate cleaning or replacement of those components.
In use, one or more process gases are introduced into thegas delivery assembly220 via thegas inlet223. The process gas flows into thefirst volume261 and through thepassage259 of thesecond blocker plate235 into thesecond volume262. The process gas is then distributed through theholes233A of thefirst blocker plate233 into thethird volume263 and further distributed through theholes225A of thedistribution plate225 until the gas meets the exposed surfaces of the substrate disposed within thechamber body112.
A gas supply panel (not shown) is typically used to provide the one or more gases to theprocessing chamber100. The particular gas or gases that are used depend upon the process or processes to be performed within thechamber100. Illustrative gases can include, but are not limited to one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof. Typically, the one or more gases introduced to theprocessing chamber100 flow through theinlet223 into thelid assembly200 and then into thechamber body112 through thegas delivery assembly220. An electronically operated valve and/or flow control mechanism (not shown) may be used to control the flow of gas from the gas supply into theprocessing chamber100. Depending on the process, any number of gases can be delivered to theprocessing chamber100, and can be mixed either in theprocessing chamber100 or before the gases are delivered to theprocessing chamber100, such as within a gas mixture (not shown), for example.
Still referring toFIGS. 1A and 2A, thelid assembly200 can further include anelectrode240 to generate a plasma of reactive species within thelid assembly200. In one embodiment, theelectrode240 is supported on thetop plate250 and is electrically isolated therefrom. For example, anisolator filler ring241 can be disposed about a lower portion of theelectrode240 separating theelectrode240 from thetop plate250 as shown inFIG. 2A. Anannular isolator242 can also be disposed about an outer surface of theisolator filler ring241. Anannular insulator243 can then be disposed about an upper portion of theelectrode240 so that theelectrode240 is electrically isolated from thetop plate250 and all the other components of thelid assembly200. Each of theserings241,242,243 can be made from aluminum oxide or any other insulative, process compatible material.
In one or more embodiments, theelectrode240 is coupled to a power source (not shown) while thegas delivery assembly220 is connected to ground (i.e. thegas delivery assembly220 serves as an electrode). Accordingly, a plasma of one or more process gases can be generated in thevolumes261,262 and/or263 between the electrode240 (“first electrode”) and the gas delivery assembly220 (“second electrode”). For example, the plasma can be struck and contained between theelectrode240 and theblocker assembly230. Alternatively, the plasma can be struck and contained between theelectrode240 and thedistribution plate225, in the absence of theblocker assembly230. In either embodiment, the plasma is well confined or contained within thelid assembly200. Accordingly, the plasma is a “remote plasma” since no active plasma is in direct contact with the substrate disposed within thechamber body112. As a result, plasma damage to the substrate is avoided because the plasma is sufficiently separated from the substrate surface.
Any power source capable of activating the gases into reactive species and maintaining the plasma of reactive species may be used. For example, radio frequency (RF), direct current (DC), or microwave (MW) based power discharge techniques may be used. The activation may also be generated by a thermally based technique, a gas breakdown technique, a high intensity light source (e.g., UV energy), or exposure to an x-ray source. Alternatively, a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species which are then delivered into thechamber100. Exemplary remote plasma generators are available from vendors such as MKS Instruments, Inc. and Advanced Energy Industries, Inc. Preferably, an RF power supply is coupled to theelectrode240.
Referring toFIG. 2A, thegas delivery assembly220 can be heated depending on the process gases and operations to be performed within theprocessing chamber100. In one embodiment, aheating element270, such as a resistive heater for example, can be coupled to thedistribution plate225. In one embodiment, theheating element270 is a tubular member and is pressed into an upper surface of thedistribution plate225 as shown in more detail inFIGS. 2B and 2C.
Referring toFIGS. 2B and 2C, the upper surface of thedistribution plate225 includes a groove or recessed channel having a width slightly smaller than the outer diameter of theheating element270, such that theheating element270 is held within the groove using an interference fit. Theheating element270 regulates the temperature of thegas delivery assembly220 since the components of thedelivery assembly220, including thedistribution plate225 and theblocker assembly230, are each conductively coupled to one another. Regulation of the temperature may be facilitated by athermocouple272 coupled to thedistribution plate225. Thethermocouple272 may be used in a feedback loop to control electric current applied to theheating element270 from a power supply, such that thegas delivery assembly220 temperature can be maintained or controlled at a desired temperature or within a desired temperature range. Control of thegas delivery assembly220 temperature is facilitated because as described above, thegas delivery assembly220 makes minimal thermal contact with the other components of thelid assembly200, and as such, thermal conductivity is limited.
In one or more embodiments, thelid assembly200 can include one or morefluid channel202 formed therein for flowing a heat transfer medium to provide temperature control of thegas delivery assembly220. In one embodiment, thefluid channel202 can be formed within thelid rim210, as shown inFIG. 2A. Alternatively, thefluid channel202 can be formed within any component of thelid assembly200 to provide an uniform heat transfer to thegas delivery assembly220. Thefluid channel202 can contain either a heating or cooling medium to control temperature of thegas delivery assembly220, depending on the process requirements within thechamber100. Any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
In one or more embodiments, thegas delivery assembly220 can be heated using one or more heat lamps (not shown). Typically, the heat lamps are arranged about an upper surface of thedistribution plate225 to heat thedistribution plate225 by radiation.
FIG. 3A shows a partial cross sectional view of anillustrative support assembly300. Thesupport assembly300 can be at least partially disposed within thechamber body112. Thesupport assembly300 can include asupport member310 to support a substrate (not shown in this view) for processing within thechamber body112. Thesupport member310 can be coupled to alift mechanism330 through ashaft314 which extends through a centrally-locatedopening114 formed in a bottom surface of thechamber body112. Thelift mechanism330 can be flexibly sealed to thechamber body112 by abellows333 that prevents vacuum leakage from around theshaft314. Thelift mechanism330 allows thesupport member310 to be moved vertically within thechamber body112 between a process position and a lower, transfer position. The transfer position is slightly below the opening of theslit valve160 formed in a sidewall of thechamber body112.
FIG. 3B shows an enlarged partial cross sectional of thesupport assembly300 shown inFIG. 3A. In one or more embodiments, thesupport member310 has a flat, circular surface or a substantially flat, circular surface for supporting a substrate to be processed thereon. Thesupport member310 is preferably constructed of aluminum. Thesupport member310 can include a removabletop plate311 made of some other material, such as silicon or ceramic material, for example, to reduce backside contamination of the substrate.
In one or more embodiments, thesupport member310 or thetop plate311 can include a plurality of extensions ordimples311A arranged on the upper surface thereof. InFIG. 3B, thedimples311A are shown on the upper surface of thetop plate311. It can be envisioned that thedimples311A can be arranged on the upper surface of thesupport member310 if atop plate311 is not desired. Thedimples311A provide minimum contact between the lower surface of the substrate and the support surface of the support assembly300 (i.e. either thesupport member310 or the top plate311).
In one or more embodiments, the substrate (not shown) may be secured to thesupport assembly300 using a vacuum chuck. Thetop plate311 can include a plurality ofholes312 in fluid communication with one ormore grooves316 formed in thesupport member310. Thegrooves316 are in fluid communication with a vacuum pump (not shown) via avacuum conduit313 disposed within theshaft314 and thesupport member310. Under certain conditions, thevacuum conduit313 can be used to supply a purge gas to the surface of thesupport member310 to prevent deposition when a substrate is not disposed on thesupport member310. Thevacuum conduit313 can also pass a purge gas during processing to prevent a reactive gas or byproduct from contacting the backside of the substrate.
In one or more embodiments, the substrate (not shown) may be secured to thesupport member310 using an electrostatic chuck. In one or more embodiments, the substrate can be held in place on thesupport member310 by a mechanical clamp (not shown), such as a conventional clamp ring.
Preferably, the substrate is secured using an electrostatic chuck. An electrostatic chuck typically includes at least a dielectric material that surrounds an electrode (not shown), which may be located on an upper surface of thesupport member310 or formed as an integral part of thesupport member310. The dielectric portion of the chuck electrically insulates the chuck electrode from the substrate and from the remainder of thesupport assembly300.
In one or more embodiments, the perimeter of the chuck dielectric can be is slightly smaller than the perimeter of the substrate. In other words, the substrate slightly overhangs the perimeter of the chuck dielectric so that the chuck dielectric will remain completely covered by the substrate even if the substrate is misaligned off center when positioned on the chuck. Assuring that the substrate completely covers the chuck dielectric ensures that the substrate shields the chuck from exposure to potentially corrosive or damaging substances within thechamber body112.
The voltage for operating the electrostatic chuck can be supplied by a separate “chuck” power supply (not shown). One output terminal of the chucking power supply is connected to the chuck electrode. The other output terminal typically is connected to electrical ground, but alternatively may be connected to a metal body portion of thesupport assembly300. In operation, the substrate is placed in contact with the dielectric portion, and a direct current voltage is placed on the electrode to create the electrostatic attractive force or bias to adhere the substrate on the upper surface of thesupport member310.
Still referring toFIGS. 3A and 3B, thesupport member310 can include one ormore bores323 formed therethrough to accommodate alift pin325. Eachlift pin325 is typically constructed of ceramic or ceramic-containing materials, and are used for substrate-handling and transport. Eachlift pin325 is slideably mounted within thebore323. In one aspect, thebore323 is lined with a ceramic sleeve to help freely slide thelift pin325. Thelift pin325 is moveable within itsrespective bore323 by engaging anannular lift ring320 disposed within thechamber body112. Thelift ring320 is movable such that the upper surface of the lift-pin325 can be located above the substrate support surface of thesupport member310 when thelift ring320 is in an upper position. Conversely, the upper surface of the lift-pins325 is located below the substrate support surface of thesupport member310 when thelift ring320 is in a lower position. Thus, part of each lift-pin325 passes through itsrespective bore323 in thesupport member310 when thelift ring320 moves from either the lower position to the upper position.
When activated, the lift pins325 push against a lower surface of the substrate, lifting the substrate off thesupport member310. Conversely, the lift pins325 may be de-activated to lower the substrate, thereby resting the substrate on thesupport member310. The lift pins325 can include enlarged upper ends or conical heads to prevent thepins325 from failing out from thesupport member310. Other pin designs can also be utilized and are well known to those skilled in the art.
In one embodiment, one or more of the lift pins325 include a coating or an attachment disposed thereon that is made of a non-skid or highly frictional material to prevent the substrate from sliding when supported thereon. A preferred material is a high temperature, polymeric material that does not scratch or otherwise damage the backside of the substrate which would create contaminants within theprocessing chamber100. Preferably, the coating or attachment is KALREZ™ coating available from DuPont.
To drive thelift ring320, an actuator, such as a conventional pneumatic cylinder or a stepper motor (not shown), is generally used. The stepper motor or cylinder drives thelift ring320 in the up or down positions, which in turn drives the lift-pins325 that raise or lower the substrate. In a specific embodiment, a substrate (not shown) is supported on thesupport member310 by three lift-pins325 (not shown in this view) dispersed approximately 120 degrees apart and projecting from thelift ring320.
Referring again toFIG. 3A, thesupport assembly300 can include anedge ring305 disposed about thesupport member310. Theedge ring305 can be made of a variety of materials such as ceramic, quartz, aluminum and steel, among others. In one or more embodiments, theedge ring305 is an annular member that is adapted to cover an outer perimeter of thesupport member310 and protect thesupport member310 from deposition. Theedge ring305 can be positioned on or adjacent thesupport member310 to form an annularpurge gas channel334 between the outer diameter ofsupport member310 and the inner diameter of theedge ring305. The annularpurge gas channel334 can be in fluid communication with apurge gas conduit335 formed through thesupport member310 and theshaft314. Preferably, thepurge gas conduit335 is in fluid communication with a purge gas supply (not shown) to provide a purge gas to thepurge gas channel334. Any suitable purge gas such as nitrogen, argon, or helium, may be used alone or in combination. In operation, the purge gas flows through theconduit335, into thepurge gas channel334, and about an edge of the substrate disposed on thesupport member310. Accordingly, the purge gas working in cooperation with theedge ring305 prevents deposition at the edge and/or backside of the substrate.
Referring again toFIGS. 3A and 3B, the temperature of thesupport assembly300 is controlled by a fluid circulated through afluid channel360 embedded in the body of thesupport member310. In one or more embodiments, thefluid channel360 is in fluid communication with aheat transfer conduit361 disposed through theshaft314 of thesupport assembly300. Preferably, thefluid channel360 is positioned about thesupport member310 to provide a uniform heat transfer to the substrate receiving surface of thesupport member310. Thefluid channel360 andheat transfer conduit361 can flow heat transfer fluids to either heat or cool thesupport member310. Any suitable heat transfer fluid may be used, such as water, nitrogen, ethylene glycol, or mixtures thereof. Thesupport assembly300 can further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of thesupport member310. For example, a signal from the thermocouple may be used in a feedback loop to control the temperature or flowrate of the fluid circulated through thefluid channel360.
Referring back toFIG. 3A, thesupport member310 can be moved vertically within thechamber body112 so that a distance betweensupport member310 and thelid assembly200 can be controlled. A sensor (not shown) can provide information concerning the position ofsupport member310 withinchamber100. An example of a lifting mechanism for thesupport member310 is described in detail in U.S. Pat. No. 5,951,776, issued Sep. 14, 1999 to Selyutin et al., entitled “Self-Aligning Lift Mechanism”, which is hereby incorporated by reference in it entirety.
In operation, thesupport member310 can be elevated to a close proximity of thelid assembly200 to control the temperature of the substrate being processed. As such, the substrate can be heated via radiation emitted from thedistribution plate225 that is controlled by theheating element270. Alternatively, the substrate can be lifted off thesupport member310 to close proximity of theheated lid assembly200 using the lift pins325 activated by thelift ring320.
After extended periods of use or at designated times for scheduled maintenance, certain components of theprocessing chamber100 including those described above can be regularly inspected, replaced, or cleaned. These components are typically parts that are collectively known as the “process kit.” Illustrative components of the process kit can include, but are not limited to theshowerhead225, thetop plate311, theedge ring305, theliner133, and the lift pins325, for example. Any one or more of these components are typically removed from thechamber100 and cleaned or replaced at regular intervals or according to an as-needed basis.
FIG. 4A shows a partial cross sectional view of anotherillustrative lid assembly400. Thelid assembly400 includes at least two stacked components configured to form a plasma volume or cavity therebetween. In one or more embodiments, thelid assembly400 includes a first electrode410 (“upper electrode”) disposed vertically above a second electrode450 (“lower electrode”) confining a plasma volume orcavity425 therebetween. Thefirst electrode410 is connected to apower source415, such as an RF power supply, and thesecond electrode450 is connected to ground, forming a capacitance between the twoelectrodes410,450.
In one or more embodiments, thelid assembly400 includes one or more gas inlets412 (only one is shown) that are at least partially formed within anupper section413 of thefirst electrode410. The one or more process gases enter thelid assembly400 via the one ormore gas inlets412. The one ormore gas inlets412 are in fluid communication with theplasma cavity425 at a first end thereof and coupled to one or more upstream gas sources and/or other gas delivery components, such as gas mixers, at a second end thereof. The first end of the one ormore gas inlets412 can open into theplasma cavity425 at the upper most point of theinner diameter430 of the expandingsection420 as shown inFIG. 4A. Similarly, the first end of the one ormore gas inlets412 can open into theplasma cavity425 at any height interval along theinner diameter430 of the expandingsection420. Although not shown, twogas inlets412 can be disposed at opposite sides of the expandingsection420 to create a swirling flow pattern or “vortex” flow into the expandingsection420 which helps mix the gases within theplasma cavity425. A more detailed description of such a flow pattern and gas inlet arrangements is provided by U.S. Patent Application No. 20030079686, filed on Dec. 21, 2001, which is incorporated by reference herein.
In one or more embodiments, thefirst electrode410 has an expandingsection420 that houses theplasma cavity425. As shown inFIG. 4A, the expandingsection420 is in fluid communication with thegas inlet412 as described above. In one or more embodiments, the expandingsection420 is an annular member that has an inner surface ordiameter430 that gradually increases from anupper portion420A thereof to alower portion420B thereof. As such, the distance between thefirst electrode410 and thesecond electrode450 is variable. That varying distance helps control the formation and stability of the plasma generated within theplasma cavity425.
In one or more embodiments, the expandingsection420 resembles a cone or “funnel,” as is shown inFIGS. 4A and 4B.FIG. 4B shows an enlarged schematic, partial cross sectional view of the upper electrode ofFIG. 4A. In one or more embodiments, theinner surface430 of the expandingsection420 gradually slopes from theupper portion420A to thelower portion420B of the expandingsection420. The slope or angle of theinner diameter430 can vary depending on process requirements and/or process limitations. The length or height of the expandingsection420 can also vary depending on specific process requirements and/or limitations. In one or more embodiments, the slope of theinner diameter430, or the height of the expandingsection420, or both can vary depending on the volume of plasma needed for processing. For example, the slope of theinner diameter430 can be at least 1:1, or at least 1.5:1 or at least 2:1 or at least 3:1 or at least 4:1 or at least 5:1 or at least 10:1. In one or more embodiments, the slope of theinner diameter430 can range from a low of 2:1 to a high of 20:1.
In one or more embodiments, the expandingsection420 can be curved or arced although not shown in the figures. For example, theinner surface430 of the expandingsection420 can be curved or arced to be either convexed or concaved. In one or more embodiments, theinner surface430 of the expandingsection420 can have a plurality of sections that are each sloped, tapered, convexed, or concaved.
As mentioned above, the expandingsection420 of thefirst electrode410 varies the vertical distance between thefirst electrode410 and thesecond electrode450 because of the gradually increasinginner surface430 of thefirst electrode410. That variable distance is directly related to the power level within theplasma cavity425. Not wishing to be bound by theory, the variation in distance between the twoelectrodes410,450 allows the plasma to find the necessary power level to sustain itself within some portion of theplasma cavity425 if not throughout theentire plasma cavity425. The plasma within theplasma cavity425 is therefore less dependent on pressure, allowing the plasma to be generated and sustained within a wider operating window. As such, a more repeatable and reliable plasma can be formed within thelid assembly400.
Thefirst electrode410 can be constructed from any process compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061-T6, stainless steel as well as combinations and alloys thereof, for example. In one or more embodiments, the entirefirst electrode410 or portions thereof are nickel coated to reduce unwanted particle formation. Preferably, at least theinner surface430 of the expandingsection420 is nickel plated.
Thesecond electrode450 can include one or more stacked plates. When two or more plates are desired, the plates should be in electrical communication with one another. Each of the plates should include a plurality of apertures or gas passages to allow the one or more gases from theplasma cavity425 to flow through.
Referring toFIG. 4B, thelid assembly400 can further include anisolator ring440 to electrically isolate thefirst electrode410 from thesecond electrode450. Theisolator ring440 can be made from aluminum oxide or any other insulative, process compatible material. Preferably, theisolator ring440 surrounds or substantially surrounds at least the expandingsection420 as shown inFIG. 4B.
Referring again to the specific embodiment shown inFIG. 4A, thesecond electrode450 includes atop plate460,distribution plate470 andblocker plate480. Thetop plate460,distribution plate470 andblocker plate480 are stacked and disposed on alid rim490 which is connected to thechamber body112 as shown inFIG. 4B. As is known in the art, a hinge assembly (not shown) can be used to couple thelid rim490 to thechamber body112. Thelid rim490 can include an embedded channel orpassage492 for housing a heat transfer medium. The heat transfer medium can be used for heating, cooling, or both, depending on the process requirements. Illustrative heat transfer mediums are listed above.
In one or more embodiments, thetop plate460 includes a plurality of gas passages orapertures465 formed beneath theplasma cavity425 to allow gas from theplasma cavity425 to flow therethrough. In one or more embodiments, thetop plate460 can include a recessedportion462 that is adapted to house at least a portion of thefirst electrode410. In one or more embodiments, theapertures465 are through the cross section of thetop plate460 beneath the recessedportion462. The recessedportion462 of thetop plate460 can be stair stepped as shown inFIG. 4A to provide a better sealed fit therebetween. Furthermore, the outer diameter of thetop plate460 can be designed to mount or rest on an outer diameter of thedistribution plate470 as shown inFIG. 4A. An o-ring type seal, such as an elastomeric o-ring463, can be at least partially disposed within the recessedportion462 of thetop plate460 to ensure a fluid-tight contact with thefirst electrode410. Likewise, an o-ring type seal466 can be used to provide a fluid-tight contact between the outer perimeters of thetop plate460 and thedistribution plate470.
In one or more embodiments, thedistribution plate470 is identical to thedistribution plate225 shown and described above with reference toFIGS. 2A-2C. Particularly, thedistribution plate470 is substantially disc-shaped and includes a plurality ofapertures475 or passageways to distribute the flow of gases therethrough. Theapertures475 can be sized and positioned about thedistribution plate470 to provide a controlled and even flow distribution to thechamber body112 where the substrate to be processed is located. Furthermore, theapertures475 prevent the gas(es) from impinging directly on the substrate surface by slowing and re-directing the velocity profile of the flowing gases, as well as evenly distributing the flow of gas to provide an even distribution of gas across the surface of the substrate.
Thedistribution plate470 can also include anannular mounting flange472 formed at an outer perimeter thereof. The mountingflange472 can be sized to rest on an upper surface of thelid rim490. An o-ring type seal, such as an elastomeric o-ring, can be at least partially disposed within the annular mountingflange472 to ensure a fluid-tight contact with thelid rim490.
In one or more embodiments, thedistribution plate470 includes one or more embedded channels orpassages474 for housing a heater or heating fluid to provide temperature control of thelid assembly400. Similar to thelid assembly200 described above, a resistive heating element can be inserted within thepassage474 to heat thedistribution plate470. A thermocouple can be connected to thedistribution plate470 to regulate the temperature thereof. The thermocouple can be used in a feedback loop to control electric current applied to the heating element, as described above.
Alternatively, a heat transfer medium can be passed through thepassage474. The one ormore passages474 can contain a cooling medium, if needed, to better control temperature of thedistribution plate470 depending on the process requirements within thechamber body112. As mentioned above, any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
In one or more embodiments, thelid assembly400 can be heated using one or more heat lamps (not shown). Typically, the heat lamps are arranged about an upper surface of thedistribution plate470 to heat the components of thelid assembly400 including thedistribution plate470 by radiation.
Theblocker plate480 is optional and would be disposed between thetop plate460 and thedistribution plate470. Preferably, theblocker plate480 is removably mounted to a lower surface of thetop plate460. Theblocker plate480 should make good thermal and electrical contact with thetop plate460. In one or more embodiments, theblocker plate480 can be coupled to thetop plate460 using a bolt or similar fastener. Theblocker plate480 can also be threaded or screwed onto an out diameter of thetop plate460.
Theblocker plate480 includes a plurality ofapertures485 to provide a plurality of gas passages from thetop plate460 to thedistribution plate470. Theapertures485 can be sized and positioned about theblocker plate480 to provide a controlled and even flow distribution thedistribution plate470.
FIG. 4C shows a partial cross sectional view of thechamber body112 having thelid assembly400 disposed thereon. Preferably, the expandingsection420 is centered above thesupport assembly300 as shown inFIG. 4C. The confinement of the plasma within theplasma cavity425 and the central location of the confined plasma allows an even and repeatable distribution of the disassociated gas(es) into thechamber body112. Particularly, the gas leaving theplasma volume425 flows through theapertures465 of thetop plate460 to the upper surface of theblocker plate480. Theapertures485 of theblocker plate480 distribute the gas to the backside of thedistribution plate470 where the gas is further distributed through theapertures475 of thedistribution plate470 before contacting the substrate (not shown) within thechamber body112.
It is believed that the confinement of the plasma within the centrally locatedplasma cavity425 and the variable distance between thefirst electrode410 and thesecond electrode450 generate a stable and reliable plasma within thelid assembly400.
For simplicity and ease of description, an exemplary dry etch process for removing silicon oxide using an ammonia (NH3) and nitrogen trifluoride (NF3) gas mixture performed within theprocessing chamber100 will now be described. It is believed that theprocessing chamber100 is advantageous for any dry etch process that benefits from a plasma treatment in addition to both substrate heating and cooling all within a single processing environment, including an anneal process.
Referring toFIG. 1, the dry etch process begins by placing a substrate (not shown), such as a semiconductor substrate for example, into theprocessing chamber100. The substrate is typically placed into thechamber body112 through theslit valve opening160 and disposed on the upper surface of thesupport member310. The substrate is chucked to the upper surface of thesupport member310, and an edge purge is passed through thechannel334. Preferably, the substrate is chucked to the upper surface of thesupport member310 by pulling a vacuum through theholes312 andgrooves316 that are in fluid communication with a vacuum pump viaconduit313. Thesupport member310 is then lifted to a processing position within thechamber body112, if not already in a processing position. Thechamber body112 is preferably maintained at a temperature of between 50° C. and 80° C., more preferably at about 65° C. This temperature of thechamber body112 is maintained by passing a heat transfer medium through thefluid channel113.
The substrate is cooled below 65° C., such as between 15° C. and 50° C., by passing a heat transfer medium or coolant through thefluid channel360 formed within thesupport assembly300. In one embodiment, the substrate is maintained below room temperature. In another embodiment, the substrate is maintained at a temperature of between 22° C. and 40° C. Typically, thesupport member310 is maintained below about 22° C. to reach the desired substrate temperatures specified above. To cool thesupport member310, the coolant is passed through thefluid channel360. A continuous flow of coolant is preferred to better control the temperature of thesupport member310. The coolant is preferably 50 percent by volume ethylene glycol and 50 percent by volume water. Of course, any ratio of water and ethylene glycol can be used so long as the desired temperature of the substrate is maintained.
The ammonia and nitrogen trifluoride gases are then introduced into thechamber100 to form a cleaning gas mixture. The amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma, the volume capacity of thechamber body112, as well as the capabilities of the vacuum system coupled to thechamber body112. In one aspect, the gases are added to provide a gas mixture having at least a 1:1 molar ratio of ammonia to nitrogen trifluoride. In another aspect, the molar ratio of the gas mixture is at least about 3 to 1 (ammonia to nitrogen trifluoride). Preferably, the gases are introduced in thechamber100 at a molar ratio of from 5:1 (ammonia to nitrogen trifluoride) to 30:1. More preferably, the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to 1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
A purge gas or carrier gas may also be added to the gas mixture. Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example. Typically, the overall gas mixture is from about 0.05% to about 20% by volume of ammonia and nitrogen trifluoride. The remainder being the carrier gas. In one embodiment, the purge or carrier gas is first introduced into thechamber body112 before the reactive gases to stabilize the pressure within thechamber body112.
The operating pressure within thechamber body112 can be variable. Typically, the pressure is maintained between about 500 mtorr and about 30 Torr. Preferably, the pressure is maintained between about 1 Torr and about 10 Torr. More preferably, the operating pressure within thechamber body112 is maintained between about 3 Torr and about 6 Torr.
An RF power of from about 5 and about 600 Watts is applied to theelectrode240 to ignite a plasma of the gas mixture within thevolumes261,262, and263 contained in thegas delivery assembly220. Preferably, the RF power is less than 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than 100 kHz. Preferably, the frequency ranges from about 50 kHz to about 90 kHz.
The plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH4F) compound and/or ammonium hydrogen fluoride (NH4F.HF) in the gas phase. These molecules then flow through thegas delivery assembly220 via theholes225A of thedistribution plate225 to react with the substrate surface to be cleaned. In one embodiment, the carrier gas is first introduced into thechamber100, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
Not wishing to be bound by theory, it is believed that the etchant gas, NH4F and/or NH4F.HF, reacts with the silicon oxide surface to form ammonium hexafluorosilicate (NH4)2SiF6, NH3, and H2O products. The NH3, and H2O are vapors at processing conditions and removed from thechamber100 by thevacuum pump125. In particular, the volatile gases flow through theapertures135 formed in theliner133 into the pumpingchannel129 before the gases exit thechamber100 through thevacuum port131 into thevacuum pump125. A thin film of (NH4)2SiF6is left behind on the substrate surface. This reaction mechanism can be summarized as follows:
NF3+NH3→NH4F+NH4F.HF+N2
6NH4F+SiO2→(NH4)2SiF6+H2O
(NH4)2SiF6+heat→NH3+HF+SiF4
After the thin film is formed on the substrate surface, thesupport member310 having the substrate supported thereon is elevated to an anneal position in close proximity to theheated distribution plate225. The heat radiated from thedistribution plate225 should be sufficient to dissociate or sublimate the thin film of (NH4)2SiF6into volatile SiF4, NH3, and HF products. These volatile products are then removed from thechamber100 by thevacuum pump125 as described above. Typically, a temperature of 75° C. or more is used to effectively sublimate and remove the thin film from the substrate. Preferably, a temperature of 100° C. or more is used, such as between about 115° C. and about 200° C.
The thermal energy to dissociate the thin film of (NH4)2SiF6into its volatile components is convected or radiated by thedistribution plate225. As described above, aheating element270 is directly coupled to thedistribution plate225, and is activated to heat thedistribution plate225 and the components in thermal contact therewith to a temperature between about 75° C. and 250° C. In one aspect, thedistribution plate225 is heated to a temperature of between 100° C. and 150° C., such as about 120° C.
This elevation change can be effectuated various ways. For example, thelift mechanism330 can elevate thesupport member310 toward a lower surface of thedistribution plate225. During this lifting step, the substrate is secured to thesupport member310, such as by the vacuum chuck or electrostatic chuck described above. Alternatively, the substrate can be lifted off thesupport member310 and placed in close proximity to theheated distribution plate225 by elevating the lift pins325 via thelift ring320.
The distance between the upper surface of the substrate having the thin film thereon and thedistribution plate225 is not critical and is a matter of routine experimentation. A person of ordinary skill in the art can easily determine the spacing required to efficiently and effectively vaporize the thin film without damaging the underlying substrate. It is believed, however, that a spacing of between about 0.254 mm (10 mils) and 5.08 mm (200 mils) is effective.
Once the film has been removed from the substrate, the chamber is purged and evacuated. The cleaned substrate is then removed from thechamber body112 by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through theslit valve opening160.
system controller (not shown) can be used to regulate the operations of theprocessing chamber100. The system controller can operate under the control of a computer program stored on a hard disk drive of a computer. For exemplary, the computer program can dictate the process sequencing and timing, mixture of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer cooling and other parameters of a particular process. The interface between a user and the system controller can be made via a CRT monitor and light pen (not shown). In a preferred embodiment, two monitors are used, one monitor mounted in the clean room wall for the operators and the other monitor behind the wall for the service technicians. Also preferred is that both monitors simultaneously display the same information but only one light pen is enabled. The light pen detects light emitted by the CRT display with a light sensor in the tip of the pen. To select a particular screen or function, the operator can touch a designated area of the display screen and push the button on the pen. The display screen generally confirms communication between the light pen and the touched area by changing its appearance, i.e. highlight or color, or displaying a new menu or screen.
A variety of processes can be implemented using a computer program product that runs on, for example, the system controller. The computer program code can be written in any conventional computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal. Suitable program code can be entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.
FIGS. 5A-5H are sectional schematic views of an exemplary fabrication sequence for forming an exemplary active electronic device, such as aMOSFET structure500, utilizing the dry etch process and theprocessing chamber100 described herein. Referring toFIGS. 5A-5H, the exemplary MOSFET structure may be formed on a semiconductor material, for example a silicon orgallium arsenide substrate525. Preferably, thesubstrate525 is a silicon wafer having a <100> crystallographic orientation and a diameter of 150 mm (6 inches), 200 mm (8 inches), or 300 mm (12 inches). Typically, the MOSFET structure includes a combination of (i) dielectric layers, such as silicon dioxide, organosilicate, carbon doped silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), silicon nitride, or combinations thereof; (ii) semiconducting layers such as doped polysilicon, and n-type or p-type doped monocrystalline silicon; and (iii) electrical contacts and interconnect lines formed from layers of metal or metal silicide, such as tungsten, tungsten silicide, titanium, titanium silicide, cobalt silicide, nickel silicide, or combinations thereof.
Referring toFIG. 5A, fabrication of the active electronic device begins by forming electrical isolation structures that electrically isolate the active electronic device from other devices. There are several types of electrical isolation structures as generally described in VLSI Technology, Second Edition, Chapter 11, by S. M. Sze, McGraw-Hill Publishing Company (1988), which is incorporated herein by reference. In one version, a field oxide layer (not shown) having a thickness of about 2,000 angstroms is first grown over theentire substrate525, and portions of the oxide layer are removed to form thefield oxide barriers545A,B which surround exposed regions in which the electrically active elements of the device are formed. The exposed regions are thermally oxidized to form a thingate oxide layer550 having a thickness of from about 50 to 300 angstroms. A polysilicon layer is then deposited, patterned, and etched to create agate electrode555. The surface of thepolysilicon gate electrode555 can be reoxidized to form an insulatingdielectric layer560, providing the structure shown inFIG. 5A.
Referring toFIG. 5B, the source and drain570A,B are next formed by doping the appropriate regions with suitable dopant atoms. For example, on p-type substrates525, an n-type dopant species comprising arsenic or phosphorous is used. Typically the doping is performed by an ion implanter and might include, for example, phosphorous (31P) at a concentration of about 1013atoms/cm2at an energy level of from about 30 to 80 Kev, or Arsenic (75As) at a dose of from about 1015to 1017atoms/cm2and an energy of from 10 to 100 Kev. After the implantation process, the dopant is driven into thesubstrate525 by heating the substrate, for example, in a rapid thermal processing (RTP) apparatus. Thereafter, theoxide layer550 covering the source anddrain regions570A,B is stripped in a conventional stripping process to remove any impurities caused by the implantation process which are trapped in the oxide layer, providing the structure shown inFIG. 8B.
Referring toFIGS. 5C and 5D, asilicon nitride layer575 is deposited on thegate electrode555 and the surfaces on thesubstrate525 by low-pressure chemical vapor deposition (LPCVD) using a gas mixture of SiH2, Cl2, and NH3. Thesilicon nitride layer575 is then etched using reactive ion etching (RIE) techniques to formnitride spacers580 on the sidewall of thegate electrode555, as shown inFIG. 5D. Thespacers580 electrically isolate the silicide layer formed on the top surface of thegate555 from other silicide layers deposited over thesource570A and drain570B. It should be noted that the electricalisolation sidewall spacers580 and overlayers can be fabricated from other materials, such as silicon oxide. The silicon oxide layers used to formsidewall spacers580 are typically deposited by CVD or PECVD from a feed gas of tetraethoxysilane (TEOS) at a temperature in the range of from about 600° C. to about 1,000° C.
Referring toFIG. 5E, a nativesilicon oxide layer585 is formed on exposed silicon surfaces by exposure to the atmosphere before and after the processes. The nativesilicon oxide layer585 must be removed prior to forming conductive metal silicide contacts on thegate555,source570A, and drain570B to improve the alloying reaction and electrical conductivity of the metal suicide formed. The nativesilicon oxide layer585 can increase the electrical resistance of the semiconducting material, and adversely affect the silicidation reaction of the silicon and metal layers that are subsequently deposited. Therefore, it is necessary to remove this nativesilicon dioxide layer585 using the dry etch process described prior to forming metal silicide contacts or conductors for interconnecting active electronic devices. The dry etch process removes the nativesilicon oxide layers585 to expose thesource570A, drain570B, and the top surface of thegate electrode555 as shown inFIG. 5F.
Thereafter, as illustrated inFIG. 5G, a PVD sputtering process is used to deposit a layer ofmetal590. Conventional furnace annealing is then used to anneal the metal and silicon layers to form metal silicide in regions in which themetal layer590 is in contact with silicon. The anneal is typically performed in a separate processing system. Accordingly, a protective cap layer (not shown) may be deposited over themetal590. The cap layers are typically nitride materials and may include one or more materials selected from the group consiting of titanium nitride, tungsten nitride, tantalum nitride, nafnium nitride, and silicon nitride. The cap layer may be deposited by any deposition process, preferably by PVD.
Annealing typically involves heating thesubstrate500 to a temperature of between 600° C. and 800° C. in an atmosphere of nitrogen for about 30 minutes. Alternatively, themetal silicide595 can be formed utilizing a rapid thermal annealing process in which thesubstrate500 is rapidly heated to about 1000° C. for about 30 seconds. Suitable conductive metals include cobalt, titanium, nickel, tungsten, platinum, and any other metal that has a low contact resistance and that can form a reliable metal silicide contact on both polysilicon and monocrystalline silicon.
Unreacted portions of themetal layer590 can be removed by a wet etch using aqua regia, (HCl and HNO3) which removes the metal without attacking themetal silicide595; thespacer580, or thefield oxide545A,B, thus leaving a self-alignedmetal silicide contact595 on thegate555,source570A, and drain570B, as shown inFIG. 5H. Thereafter, an insulating cover layer comprising, for example, silicon oxide, BPSG, or PSG, can be deposited on the electrode structures. The insulating cover layer is deposited by means of chemical-vapor deposition in a CVD chamber, in which the material condenses from a feed gas at low or atmospheric pressure, as for example, described in commonly assigned U.S. Pat. No. 5,500,249, issued Mar. 19, 1996, which is incorporated herein by reference. Thereafter, thestructure500 is annealed at glass transition temperatures to form a smooth planarized surface.
In one or more embodiments, theprocessing chamber100 can be integrated into a multi-processing platform, such as an Endura™ platform available from Applied Materials, Inc. located in Santa Clara, Calif. Such a processing platform is capable of performing several processing operations without breaking vacuum. Details of the Endura™ platform are described in commonly assigned U.S. patent application Ser. No. 09/451,628, entitled “Integrated Modular Processing Platform”, filed on Nov. 30, 1999, which is incorporated by reference herein.
FIG. 6 is a schematic top-view diagram of an illustrativemulti-chamber processing system600. Thesystem600 can include one or moreload lock chambers602,604 for transfering of substrates into and out of thesystem600. Typically, since thesystem600 is under vacuum, theload lock chambers602,604 may “pump down” the substrates introduced into thesystem600. Afirst robot610 may transfer the substrates between theload lock chambers602,604, and a first set of one or moresubstrate processing chambers612,614,616,618 (four are shown). Eachprocessing chamber612,614,616,618, can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.
Thefirst robot610 can also transfer substrates to/from one ormore transfer chambers622,624. Thetransfer chambers622,624 can be used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within thesystem600. Asecond robot630 can transfer the substrates between thetransfer chambers622,624 and a second set of one ormore processing chambers632,634,636,638. Similar to processingchambers612,614,616,618, theprocessing chambers632,634,636,638 can be outfitted to perform a variety of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation, for example. Any of thesubstrate processing chambers612,614,616,618,632,634,636,638 may be removed from thesystem600 if not necessary for a particular process to be performed by thesystem600.
Anillustrative multi-processing system600 for forming the MOSFET structure ofFIGS. 5A-5H can include twoptocessing chambers100 as described above, two physical vapor deposition chambers to deposit themetal500 and two physical vapor deposition chambers to deposit the optional cap layer (not shown). Any one of theprocessing chambers612,614,616,618,632,634,636,638 shown inFIG. 6 represent the PVD chambers and/orprocessing chambers100.
Although the process sequence above has been described in relation to the formation of a MOSFET device, the dry etch process described herein can also be used to form other semiconductor structures and devices that have other metal silicide layers, for example, suicides of tungsten, tantalum, molybdenum. The cleaning process can also be used prior to the deposition of layers of different metals including, for example, aluminum, copper, cobalt, nickel, silicon, titanium, palladium, hafnium, boron, tungsten, tantalum, or mixtures thereof.
To provide a better understanding of the foregoing discussion, the following non-limiting example is offered. Although the example may be directed to specific embodiments, the example should not be interpreted as limiting the invention in any specific respect.
EXAMPLE During etch, a gas mixture of 2 sccm of NF3, 10 sccm of NH3and 2,500 sccm of argon was introduced into the chamber. A plasma of the gas mixture was ignited using 100 Watts of power. The bottom purge was 1,500 sccm of argon and the edge purge was 50 sccm of argon. The chamber pressure was maintained at about 6 Torr, and the substrate temperature was about 22° C. The substrate was etched for 120 seconds.
During subsequent annealing, the spacing was 750 mil and the lid temperature was 120° C. The substrate was annealed for about 60 seconds. About 50 angstroms of material was removed from the substrate surface. No anneal effect was observed. The etch rate was about 0.46 angstroms per second (28 Å/min). The observed etch uniformity was about 5% for the 50 Å etch.
Unless otherwise indicated, all numbers expressing quantities of ingredients, properties, reaction conditions, and so forth, used in the specification and claims are to be understood as approximations. These approximations are based on the desired properties sought to be obtained by the present invention, and the error of measurement, and should at least be construed in light of the number of reported significant digits and by applying ordinary rounding techniques. Further, any of the quantities expressed herein, including temperature, pressure, spacing, molar ratios, flow rates, and so on, can be further optimized to achieve the desired etch selectivity and particle performance.
While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.