Movatterモバイル変換


[0]ホーム

URL:


US20010055331A1 - Multi-pair gigabit ethernet transceiver - Google Patents

Multi-pair gigabit ethernet transceiver
Download PDF

Info

Publication number
US20010055331A1
US20010055331A1US09/781,914US78191401AUS2001055331A1US 20010055331 A1US20010055331 A1US 20010055331A1US 78191401 AUS78191401 AUS 78191401AUS 2001055331 A1US2001055331 A1US 2001055331A1
Authority
US
United States
Prior art keywords
signal
communication device
integrated circuit
isi
error
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/781,914
Other versions
US6459746B2 (en
Inventor
Oscar Agazzi
John Creigh
Mehdi Hatamian
David Kruse
Arthur Abnous
Henry Samueli
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avago Technologies International Sales Pte Ltd
Original Assignee
Broadcom Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Broadcom CorpfiledCriticalBroadcom Corp
Priority to US09/781,914priorityCriticalpatent/US6459746B2/en
Publication of US20010055331A1publicationCriticalpatent/US20010055331A1/en
Priority to US10/207,305prioritypatent/US6771725B2/en
Application grantedgrantedCritical
Publication of US6459746B2publicationCriticalpatent/US6459746B2/en
Assigned to BANK OF AMERICA, N.A., AS COLLATERAL AGENTreassignmentBANK OF AMERICA, N.A., AS COLLATERAL AGENTPATENT SECURITY AGREEMENTAssignors: BROADCOM CORPORATION
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.reassignmentAVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: BROADCOM CORPORATION
Assigned to BROADCOM CORPORATIONreassignmentBROADCOM CORPORATIONTERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTSAssignors: BANK OF AMERICA, N.A., AS COLLATERAL AGENT
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITEDreassignmentAVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITEDMERGER (SEE DOCUMENT FOR DETAILS).Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITEDreassignmentAVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITEDCORRECTIVE ASSIGNMENT TO CORRECT THE EFFECTIVE DATE OF MERGER PREVIOUSLY RECORDED ON REEL 047195 FRAME 0026. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER.Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Anticipated expirationlegal-statusCritical
Expired - Lifetimelegal-statusCriticalCurrent

Links

Images

Classifications

Definitions

Landscapes

Abstract

Various systems and methods providing high speed decoding, enhanced power reduction and clock domain partitioning for a multi-pair gigabit Ethernet transceiver are disclosed. ISI compensation is partitioned into two stages; a first stage compensates ISI components induced by characteristics of a transmitter's partial response pulse shaping filter in a demodulator, a second stage compensates ISI components induced by characteristics of a multi-pair transmission channel in a Viterbi decoder. High speed decoding is accomplished by reducing the DFE depth by providing an input signal from a multiple decision feedback equalizer to the Viterbi based on a tail value and a subset of coefficient values received from a unit depth decision-feedback equalizer. Power reduction is accomplished by adaptively truncating active taps in the NEXT, FEXT and echo cancellation filters, or by disabling decoder circuitry portions, as channel response characteristics allow. A receive clock signal is generated such that it is synchronous in frequency with analog sampling clock signals and has a particular phase offset with respect to one of the sampling clock signals. This phase offset is adjusted such that system performance degradation due to coupling of switching noise from the digital sections to the analog sections is substantially minimized.

Description

Claims (45)

What is claimed is:
1. An integrated circuit communication device configured for operation over a multi-pair transmission channel, the communication device comprising:
measurement circuitry configured to measure a performance degradation characteristic resulting from disabling each member of a set of sub-pluralities of a plurality of circuit elements;
disabling circuitry configured to adaptively disable one or more of the sub-pluralities of the circuit elements until the performance degradation characteristic reaches a threshold level; and
a decision feedback sequence estimation (DFSE) circuit, the DFSE decoding an input sample into a final decision corresponding to a codeword of a trellis code having N states, the DFSE including;
a decoder circuit for decoding a set of signal samples to generate tentative decisions and the final decision; and
a single state decision feedback equalizer.
2. The integrated circuit communication device according to
claim 1
, the decision feedback equalizer coupled to the decoder circuit for receiving the tentative decisions, the single state decision feedback equalizer including:
a set of low-ordered coefficients; and
a set of high-ordered coefficients generating a tail value based on the tentative decisions and the input sample.
3. The integrated circuit communication device according to
claim 2
, further comprising a state multiplication circuit, the state multiplication circuit expanding a single state representation of a signal received from the single state decision feedback equalizer into an N state representation suitable for decoding by the DFSE.
4. The integrated circuit communication device according to
claim 3
, the state multiplication circuit comprising a multiple decision feedback equalizer coupled to the decision-feedback equalizer and generating an N state representation of signal samples in response to the tail value and the set of low-ordered coefficients received from the decision feedback equalizer.
5. The integrated circuit communication device according to
claim 1
, the DFSE circuit further comprising:
a Viterbi decoder for receiving the set of signal samples, the Viterbi decoder computing path metrics for each of the N states of the trellis code and outputing decisions based on the path metrics; and
a path memory module coupled to the Viterbi decoder for receiving the decisions, the path memory module having a number of depth levels corresponding to consecutive time instants, each of the depth levels including N registers for storing decisions corresponding to the N states, each of selected depth levels including a multiplexer for selecting a best decision from corresponding N registers, the best decision at the last depth level being the final decision, the best decisions at other selected depth levels being the tentative decisions.
6. The integrated circuit communication device according to
claim 4
, the multiple decision feedback equalizer comprising:
a memory;
a set of symbolic levels contained within the memory; and
a convolution engine coupled to combine the set of low order coefficients with each member of the set of symbolic levels.
7. An integrated circuit communication device configured for operation over a multi-pair transmission channel, the communication device comprising:
measurement circuitry configured to measure a performance degradation characteristic resulting from disabling each member of a set of sub-pluralities of a plurality of circuit elements;
disabling circuitry configured to adaptively disable one or more of the sub-pluralities of the circuit elements until the performance degradation characteristic reaches a threshold level; and
a single state decision feedback equalizer;
8. The integrated circuit communication device according to
claim 7
, the single state decision feedback equalizer having a set of ordered coefficients, the decision feedback equalizer defining a coefficient related tail value and a low order subset of coefficient values.
9. The integrated circuit communication device according to
claim 8
, wherein the single state decision feedback equalizer has a width dimension D, wherein the width dimension D corresponds to the number of pairs defining the multi-pair transmission channel.
10. The integrated circuit communication device according to
claim 9
, further comprising a state multiplication circuit, the state multiplication circuit expanding a single state representation output signal received from the single state decision feedback equalizer into an N state representation signal suitable for decoding by the DFSE.
11. The integrated circuit communication device according to
claim 10
, the state multiplication circuit comprising:
a convolution engine coupled to combine the low order subset of coefficient values with each member of a set of symbolic levels to define a first sample signal set; and
a summing circuit coupled to combine the tail value with each member of the first sample signal set to define an N state representational set of signal samples.
12. The integrated circuit communication device according to
claim 7
, further comprising:
a control module controlling activation and deactivation of at least a portion of the sub-pluralities of the circuit elements according to a criterion, the criterion being based on at least one of an information error metric, a power metric, a specified error and a specified power; and
a computing module coupled to the control module, the computing module computing at least one of the information error metric and the power metric.
13. The integrated circuit communication device according to
claim 10
, wherein the criterion is the following:
activate if the information error metric is greater than the specified error; and
deactivate if the information error metric is smaller than the specified error.
14. The integrated circuit communication device according to
claim 13
, wherein the criterion is the following:
activate if the information error metric is greater than the specified error and the power metric is smaller than the specified power; and
deactivate if the information error metric is smaller than the specified error or the power metric is greater than the specified power.
15. The integrated circuit communication device according to
claim 14
, wherein the information error metric is related to a bit error rate of the communication system.
16. An integrated circuit communication device configured for operation over a multi-pair transmission channel, the communication device comprising:
a single state decision feedback equalizer having a set of ordered coefficients, the decision feedback equalizer defining a coefficient related tail value and a low order subset of coefficient values;
a state multiplication circuit, the state multiplication circuit expanding a single state representation output signal received from the single state decision feedback equalizer into an N state representation signal suitable for decoding by the DFSE;
a first ISI compensation circuit receiving an input signal and outputting a second signal substantially compensated for a first ISI component; and
a second ISI compensation circuit, the second ISI compensation circuit receiving the second signal and generating a third signal, the third signal being substantially compensated for a second ISI component.
17. The integrated circuit communication device according to
claim 16
, the first ISI compensation device comprising an equalizer circuit, including:
an ISI compensation filter having a substantially inverse impulse response to the impulse response of a pulse shaping filter of a remote transmitter; and
an adaptive gain stage.
18. The integrated circuit communication device according to
claim 16
, the second ISI compensation device comprising a decision feedback sequence estimation circuit.
19. The integrated circuit communication device according to
claim 18
, the decision feedback sequence estimation circuit comprising:
a decoder circuit receiving and decoding at least one ISI compensated signal sample, and generating tentative decisions and a final decision; and
a decision feedback equalizer coupled in feedback fashion to the decoder block, the decision feedback equalizer including a set of low-ordered coefficients and a set of high-ordered coefficients, the decision feedback equalizer generating a first portion of ISI compensation for the second ISI component based on the tentative decisions and the high-ordered coefficients.
20. The integrated circuit communication device according to
claim 19
, wherein the decision feedback sequence estimation circuit further comprises a convolution engine coupled to the decision feedback equalizer to receive values of the low-ordered coefficients, the convolution engine computing a set of pre-computed values representing a set of potential second ISI compensation portions for the second ISI component.
21. The integrated circuit communication device according to
claim 20
, wherein a second digital signal is combined with the first portion of ISI compensation to produce a third digital signal partially compensated for the second ISI component.
22. The integrated circuit communication device according to
claim 21
, wherein the decision feedback sequence estimation circit further comprises a multiple decision feedback equalizer coupled to the decision feedback equalizer and the convolution engine, the multiple decision feedback equalizer combining the set of pre-computed values with the third digital signal to produce a set of potential digital signals, one of the potential digital signals being substantially compensated for the second ISI component.
23. The integrated circuit communication device according to
claim 22
, wherein the first ISI component represents ISI introduced by a remote transmission device, and wherein the second ISI component represents ISI introduced by transmission channel characteristics.
24. An integrated circuit communication device configured for operation over a multi-pair transmission channel, the communication device comprising:
measurement circuitry configured to measure a performance degradation characteristic resulting from disabling each member of a set of sub-pluralities of a plurality of circuit elements;
disabling circuitry configured to adaptively disable one or more of the sub-pluralities of the circuit elements until the performance degradation characteristic reaches a threshold level; and
a first ISI compensation circuit configured to compensate for a transmitter induced ISI component; and
a second ISI compensation circuit configured to compensate for a transmission channel induced ISI component.
25. The integrated circuit communication device according to
claim 24
, the first ISI compensation device comprising an equalizer circuit, including:
an ISI compensation filter having a substantially inverse impulse response to the impulse response of a pulse shaping filter of a remote transmitter; and
an adaptive gain stage.
26. The integrated circuit communication device according to
claim 24
, the second ISI compensation device comprising a decision feedback sequence estimation circuit.
27. The integrated circuit communication device according to
claim 26
, the decision feedback sequence estimation circuit comprising:
a decoder circuit receiving and decoding at least one ISI compensated signal sample, and generating tentative decisions and a final decision; and
a decision feedback equalizer coupled in feedback fashion to the decoder block, the decision feedback equalizer including a set of low-ordered coefficients and a set of high-ordered coefficients, the decision feedback equalizer generating a first portion of ISI compensation for the second ISI component based on the tentative decisions and the high-ordered coefficients.
28. The integrated circuit communication device according to
claim 27
, wherein the decision feedback sequence estimation circuit further comprises a convolution engine coupled to the decision feedback equalizer to receive values of the low-ordered coefficients, the convolution engine computing a set of pre-computed values representing a set of potential second ISI compensation portions for the second ISI component.
29. The integrated circuit communication device according to
claim 28
, wherein a second digital signal is combined with the first portion of ISI compensation to produce a third digital signal partially compensated for the second ISI component.
30. The integrated circuit communication device according to
claim 29
, wherein the decision feedback sequence estimation circit further comprises a multiple decision feedback equalizer coupled to the decision feedback equalizer and the convolution engine, the multiple decision feedback equalizer combining the set of pre-computed values with the third digital signal to produce a set of potential digital signals, one of the potential digital signals being substantially compensated for the second ISI component.
31. The integrated circuit communication device according to
claim 30
, wherein the first ISI component represents ISI introduced by a remote transmission device, and wherein the second ISI component represents ISI introduced by transmission channel characteristics.
32. The integrated circuit communication device according to
claim 24
, further comprising:
a control module controlling activation and deactivation of at least a portion of the sub-pluralities of the circuit elements according to a criterion, the criterion being based on at least one of an information error metric, a power metric, a specified error and a specified power; and
a computing module coupled to the control module, the computing module computing at least one of the information error metric and the power metric.
33. The integrated circuit communication device according to
claim 32
, wherein the criterion is the following:
activate if the information error metric is greater than the specified error; and
deactivate if the information error metric is smaller than the specified error.
34. The integrated circuit communication device according to
claim 33
, wherein the criterion is the following:
activate if the information error metric is greater than the specified error and the power metric is smaller than the specified power; and
deactivate if the information error metric is smaller than the specified error or the power metric is greater than the specified power.
35. The integrated circuit communication device according to
claim 34
, wherein the information error metric is related to a bit error rate of the communication system.
36. An integrated circuit communication device configured for operation over a multi-pair transmission channel, the communication device comprising:
measurement circuitry configured to measure a performance degradation characteristic resulting from disabling each member of a set of sub-pluralities of a plurality of circuit elements;
disabling circuitry configured to adaptively disable one or more of the sub-pluralities of the circuit elements until the performance degradation characteristic reaches a threshold level; and
a decoder system for computing the distance of a received symbolic word from a codeword.
37. The integrated circuit communication device according to
claim 36
, further comprising:
a control module controlling activation and deactivation of at least a portion of the sub-pluralities of the circuit elements according to a criterion, the criterion being based on at least one of an information error metric, a power metric, a specified error and a specified power; and
a computing module coupled to the control module, the computing module computing at least one of the information error metric and the power metric.
38. The integrated circuit communication device according to
claim 37
, wherein the criterion is the following:
activate if the information error metric is greater than the specified error; and
deactivate if the information error metric is smaller than the specified error.
39. The integrated circuit communication device according to
claim 38
, wherein the criterion is the following:
activate if the information error metric is greater than the specified error and the power metric is smaller than the specified power; and
deactivate if the information error metric is smaller than the specified error or the power metric is greater than the specified power.
40. The integrated circuit communication device according to
claim 39
, wherein the information error metric is related to a bit error rate of the communication system.
41. The integrated circuit communication device according to
claim 36
, configured to receive information encoded in accordance with a multi-level symbolic scheme and over a multi-dimensional transmission channel, the decoder system comprising:
an input, coupled to receive an input signal;
a first slicer, coupled to detect the input signal with respect to a first one of two disjoint one-dimensional symbol-subsets; and
a second slicer, coupled to detect the input signal with respect to a second one of the two disjoint one-dimensional symbol-subsets;
wherein the first slicer outputs a first decision term and a first error term with respect to the first one of the two disjoint one-dimensional symbol-subsets, the second slicer outputting a second decision term and a second error term with respect to the second one of the two disjoint one-dimensional symbol-subsets; and
wherein each of the first and second error terms is expressed by a digital representation having substantially fewer bits than the input signal.
42. The symbol decoder according to
claim 41
, wherein each of the first and second error terms represents a distance metric between the input signal and a symbol in the respective one of the two disjoint one-dimensional symbol-subsets.
43. The integrated circuit communication device according to
claim 36
, configured to receive information encoded in accordance with a multi-level symbolic scheme and over a multi-dimensional transmission channel, the decoder system comprising:
an input to receive an input signal;
a first slicer coupled to the input, the first slicer detecting the input signal with respect to a first one of two disjoint one-dimensional symbol-subsets;
a second slicer coupled to the input, the second slicer detecting the input signal with respect to a second one of the two disjoint one-dimensional symbol-subsets; and
a third slicer coupled to detect the input signal with respect to a union set of the two disjoint one-dimensional symbol-subsets.
44. The integrated circuit communication device according to
claim 43
, wherein the first slicer outputs a first decision with respect to the first one of the two disjoint one-dimensional symbol-subsets, the second slicer outputting a second decision with respect to the second one of the two disjoint one-dimensional symbol-subsets, and wherein the third slicer outputs a third decision with respect to the union set of the two disjoint one-dimensional symbol-subsets.
45. The integrated circuit communication device according to
claim 44
, further comprising:
US09/781,9141998-11-092001-02-09Multi-pair gigabit ethernet transceiverExpired - LifetimeUS6459746B2 (en)

Priority Applications (2)

Application NumberPriority DateFiling DateTitle
US09/781,914US6459746B2 (en)1998-11-092001-02-09Multi-pair gigabit ethernet transceiver
US10/207,305US6771725B2 (en)1998-11-092002-07-29Multi-pair gigabit ethernet transceiver

Applications Claiming Priority (8)

Application NumberPriority DateFiling DateTitle
US10788098P1998-11-091998-11-09
US10787498P1998-11-091998-11-09
US10831998P1998-11-131998-11-13
US10864898P1998-11-161998-11-16
US11694699P1999-01-201999-01-20
US13061699P1999-04-221999-04-22
US09/437,719US6477200B1 (en)1998-11-091999-11-09Multi-pair gigabit ethernet transceiver
US09/781,914US6459746B2 (en)1998-11-092001-02-09Multi-pair gigabit ethernet transceiver

Related Parent Applications (1)

Application NumberTitlePriority DateFiling Date
US09/437,719DivisionUS6477200B1 (en)1998-11-091999-11-09Multi-pair gigabit ethernet transceiver

Related Child Applications (1)

Application NumberTitlePriority DateFiling Date
US10/207,305ContinuationUS6771725B2 (en)1998-11-092002-07-29Multi-pair gigabit ethernet transceiver

Publications (2)

Publication NumberPublication Date
US20010055331A1true US20010055331A1 (en)2001-12-27
US6459746B2 US6459746B2 (en)2002-10-01

Family

ID=27568670

Family Applications (19)

Application NumberTitlePriority DateFiling Date
US09/437,719Expired - Fee RelatedUS6477200B1 (en)1998-11-091999-11-09Multi-pair gigabit ethernet transceiver
US09/781,914Expired - LifetimeUS6459746B2 (en)1998-11-092001-02-09Multi-pair gigabit ethernet transceiver
US10/086,618Expired - LifetimeUS6778602B2 (en)1998-11-092002-02-28Multi-pair gigabit ethernet transceiver
US10/205,735Expired - LifetimeUS6731691B2 (en)1998-11-092002-07-26Multi-pair gigabit ethernet transceiver having adaptive disabling of circuit elements
US10/681,444Expired - LifetimeUS7194029B2 (en)1998-11-092003-10-08Multi-pair gigabit ethernet transceiver having adaptive disabling or circuit elements
US10/680,929Expired - Fee RelatedUS7197069B2 (en)1998-11-092003-10-08Multi-pair gigabit ethernet transceiver having adaptive disabling of circuit elements
US10/681,624Expired - Fee RelatedUS7305029B2 (en)1998-11-092003-10-08Multi-pair gigabit ethernet transceiver
US10/681,417Expired - Fee RelatedUS7194028B2 (en)1998-11-092003-10-08Multi-Pair Gigabit Ethernet Transceiver having decision feedback equalizer
US10/919,729Expired - Fee RelatedUS7263134B2 (en)1998-11-092004-08-17Ethernet transceiver with single-state decision feedback equalizer
US11/688,683Expired - Fee RelatedUS7733952B2 (en)1998-11-092007-03-20Multi-pair gigabit Ethernet transceiver having adaptive disabling of circuit elements
US11/688,669Expired - Fee RelatedUS7453935B2 (en)1998-11-092007-03-20Multi-pair gigabit ethernet transceiver having decision feedback equalizer
US11/692,091Expired - Fee RelatedUS7792186B2 (en)1998-11-092007-03-27Multi-pair gigabit ethernet transceiver having a single-state decision feedback equalizer
US11/846,195Expired - Fee RelatedUS7769101B2 (en)1998-11-092007-08-28Multi-pair gigabit ethernet transceiver
US11/999,175Expired - Fee RelatedUS7570701B2 (en)1998-11-092007-12-04Multi-pair gigabit ethernet transceiver
US12/273,436Expired - Fee RelatedUS7801240B2 (en)1998-11-092008-11-18Multi-pair gigabit ethernet transceiver
US12/534,614Expired - Fee RelatedUS7801241B2 (en)1998-11-092009-08-03Multi-pair gigabit Ethernet transceiver
US12/796,431Expired - Fee RelatedUS8451885B2 (en)1998-11-092010-06-08Multi-pair gigabit ethernet transceiver having adaptive disabling of circuit elements
US12/849,528Expired - Fee RelatedUS8031799B2 (en)1998-11-092010-08-03Multi-pair gigabit ethernet transceiver
US12/876,807Expired - Fee RelatedUS8306104B2 (en)1998-11-092010-09-07Method and device for multi-dimensional processing using a single-state decision feedback equalizer

Family Applications Before (1)

Application NumberTitlePriority DateFiling Date
US09/437,719Expired - Fee RelatedUS6477200B1 (en)1998-11-091999-11-09Multi-pair gigabit ethernet transceiver

Family Applications After (17)

Application NumberTitlePriority DateFiling Date
US10/086,618Expired - LifetimeUS6778602B2 (en)1998-11-092002-02-28Multi-pair gigabit ethernet transceiver
US10/205,735Expired - LifetimeUS6731691B2 (en)1998-11-092002-07-26Multi-pair gigabit ethernet transceiver having adaptive disabling of circuit elements
US10/681,444Expired - LifetimeUS7194029B2 (en)1998-11-092003-10-08Multi-pair gigabit ethernet transceiver having adaptive disabling or circuit elements
US10/680,929Expired - Fee RelatedUS7197069B2 (en)1998-11-092003-10-08Multi-pair gigabit ethernet transceiver having adaptive disabling of circuit elements
US10/681,624Expired - Fee RelatedUS7305029B2 (en)1998-11-092003-10-08Multi-pair gigabit ethernet transceiver
US10/681,417Expired - Fee RelatedUS7194028B2 (en)1998-11-092003-10-08Multi-Pair Gigabit Ethernet Transceiver having decision feedback equalizer
US10/919,729Expired - Fee RelatedUS7263134B2 (en)1998-11-092004-08-17Ethernet transceiver with single-state decision feedback equalizer
US11/688,683Expired - Fee RelatedUS7733952B2 (en)1998-11-092007-03-20Multi-pair gigabit Ethernet transceiver having adaptive disabling of circuit elements
US11/688,669Expired - Fee RelatedUS7453935B2 (en)1998-11-092007-03-20Multi-pair gigabit ethernet transceiver having decision feedback equalizer
US11/692,091Expired - Fee RelatedUS7792186B2 (en)1998-11-092007-03-27Multi-pair gigabit ethernet transceiver having a single-state decision feedback equalizer
US11/846,195Expired - Fee RelatedUS7769101B2 (en)1998-11-092007-08-28Multi-pair gigabit ethernet transceiver
US11/999,175Expired - Fee RelatedUS7570701B2 (en)1998-11-092007-12-04Multi-pair gigabit ethernet transceiver
US12/273,436Expired - Fee RelatedUS7801240B2 (en)1998-11-092008-11-18Multi-pair gigabit ethernet transceiver
US12/534,614Expired - Fee RelatedUS7801241B2 (en)1998-11-092009-08-03Multi-pair gigabit Ethernet transceiver
US12/796,431Expired - Fee RelatedUS8451885B2 (en)1998-11-092010-06-08Multi-pair gigabit ethernet transceiver having adaptive disabling of circuit elements
US12/849,528Expired - Fee RelatedUS8031799B2 (en)1998-11-092010-08-03Multi-pair gigabit ethernet transceiver
US12/876,807Expired - Fee RelatedUS8306104B2 (en)1998-11-092010-09-07Method and device for multi-dimensional processing using a single-state decision feedback equalizer

Country Status (1)

CountryLink
US (19)US6477200B1 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US20020150155A1 (en)*2001-02-262002-10-17Itzhak FlorentinConvergence speed, lowering the excess noise and power consumption of equalizers
US20050058187A1 (en)*2003-09-112005-03-17Xilinx, Inc.Programmable logic device including programmable multi-gigabit transceivers
US20050238117A1 (en)*2002-04-232005-10-27Steven WashakowskiMethod and device for pulse shaping qpsk signals
US20070121663A1 (en)*2005-11-172007-05-31Broadcom CorporationPower dissipation management for wired transceivers
US20120069875A1 (en)*2010-09-212012-03-22Fuji Xerox Co., Ltd.Communication device and communication system
TWI414165B (en)*2008-09-022013-11-01Realtek Semiconductor CorpTransceiver in communication system and start-up method thereof
US20140247906A1 (en)*2011-11-162014-09-04Huawei Technologies Co., Ltd.Microwave Predistorted Signal Generating Method and Apparatus
US20160142182A1 (en)*2013-06-142016-05-19Telefonaktiebolaget L M Ericsson (Publ)Demodulation technique
US20180013435A1 (en)*2016-07-112018-01-11Xilinx, Inc.Method and apparatus for clock phase generation
US9910454B2 (en)*2012-06-072018-03-06Sonics, Inc.Synchronizer with a timing closure enhancement
US10892763B1 (en)*2020-05-142021-01-12Credo Technology Group LimitedSecond-order clock recovery using three feedback paths
US10992501B1 (en)2020-03-312021-04-27Credo Technology Group LimitedEye monitor for parallelized digital equalizers
US11038602B1 (en)*2020-02-052021-06-15Credo Technology Group LimitedOn-chip jitter evaluation for SerDes
US11245411B2 (en)*2019-09-232022-02-08Realtek Semiconductor Corp.Receiver and associated signal processing method
US11356142B2 (en)*2019-11-052022-06-07Realtek Semiconductor Corp.Transceiver and signal processing method applied in transceiver
CN115298965A (en)*2020-03-132022-11-04德克萨斯仪器股份有限公司 Low power method for signal processing blocks in Ethernet physical layer
CN119652423A (en)*2025-02-182025-03-18深圳中科德能科技有限公司 Signal balanced transmission method and device for high-speed optoelectronic interconnection
US12425070B1 (en)*2021-10-282025-09-23Marvell Asia Pte LtdAdaptive cancellation of asynchronous near-end crosstalk

Families Citing this family (202)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
WO2000027065A1 (en)*1998-11-022000-05-11Broadcom CorporationMeasurement of nonlinear distortion in transmitters
US6477200B1 (en)*1998-11-092002-11-05Broadcom CorporationMulti-pair gigabit ethernet transceiver
US6252904B1 (en)*1998-11-132001-06-26Broadcom CorporationHigh-speed decoder for a multi-pair gigabit transceiver
US7295554B1 (en)*1999-03-122007-11-13Lucent Technologies Inc.Word Multiplexing of encoded signals into a higher bit rate serial data stream
DE60035679T2 (en)*1999-04-222008-06-05Broadcom Corp., Irvine GIGABIT ETHERNT WITH TIME SHIFTS BETWEEN DRILLED LINEAR SAVINGS
US6700938B1 (en)*1999-09-292004-03-02Motorola, Inc.Method for determining quality of trellis decoded block data
US7164659B2 (en)*1999-12-092007-01-16Broadcom CorporationAdaptive gain control based on echo canceller performance information
AU2000226869A1 (en)*2000-02-212001-09-03Surf Communication Solutions, Ltd.Adaptive echo canceling
US6783073B2 (en)*2000-04-182004-08-31Renesas Technology Corp.Image input system
US7433665B1 (en)2000-07-312008-10-07Marvell International Ltd.Apparatus and method for converting single-ended signals to a differential signal, and transceiver employing same
US7095348B1 (en)*2000-05-232006-08-22Marvell International Ltd.Communication driver
USRE41831E1 (en)2000-05-232010-10-19Marvell International Ltd.Class B driver
US7312739B1 (en)2000-05-232007-12-25Marvell International Ltd.Communication driver
US7194037B1 (en)2000-05-232007-03-20Marvell International Ltd.Active replica transformer hybrid
US7280060B1 (en)*2000-05-232007-10-09Marvell International Ltd.Communication driver
US6775529B1 (en)2000-07-312004-08-10Marvell International Ltd.Active resistive summer for a transformer hybrid
US7113121B1 (en)2000-05-232006-09-26Marvell International Ltd.Communication driver
US6462688B1 (en)2000-12-182002-10-08Marvell International, Ltd.Direct drive programmable high speed power digital-to-analog converter
US6690757B1 (en)*2000-06-202004-02-10Hewlett-Packard Development Company, L.P.High-speed interconnection adapter having automated lane de-skew
EP1176496A1 (en)*2000-07-242002-01-30Hewlett-Packard Company, A Delaware CorporationVoltage regulation in an integrated circuit
US7606547B1 (en)2000-07-312009-10-20Marvell International Ltd.Active resistance summer for a transformer hybrid
US7068780B1 (en)*2000-08-302006-06-27Conexant, Inc.Hybrid echo canceller
US7642566B2 (en)*2006-06-122010-01-05Dsm Solutions, Inc.Scalable process and structure of JFET for small and decreasing line widths
WO2002023842A1 (en)*2000-09-112002-03-21Fox DigitalApparatus and method for using adaptive algorithms to exploit sparsity in target weight vectors in an adaptive channel equalizer
US6859508B1 (en)*2000-09-282005-02-22Nec Electronics America, Inc.Four dimensional equalizer and far-end cross talk canceler in Gigabit Ethernet signals
TW507433B (en)*2000-12-082002-10-21Topic Semiconductor CorpDigital echo canceller and its method
KR100389922B1 (en)*2001-01-152003-07-04삼성전자주식회사Auto-negotiation method for high speed link in gigabit ethernet using 1000base-t standard and apparatus thereof
US7656959B2 (en)2001-04-132010-02-02Agere Systems Inc.Pipelined decision-feedback unit in a reduced-state viterbi detector with local feedback
US7170947B2 (en)*2001-07-182007-01-30Massana Research LimitedData receiver
TWI256810B (en)*2001-08-242006-06-11Faraday Tech CorpMethod for adjusting weighting of blind-type equalizer
US7099293B2 (en)*2002-05-012006-08-29Stmicroelectronics, Inc.Buffer-less de-skewing for symbol combination in a CDMA demodulator
WO2003034595A1 (en)*2001-10-152003-04-24Koninklijke Philips Electronics N.V.Multi-dimensional coding on quasi-close-packet lattices
US6751775B2 (en)*2001-11-212004-06-15Siemens Information And Communication Mobile, LlcTap-selectable reduced state sequence estimator
US7106753B2 (en)*2002-01-252006-09-12Infineon Technologies, Inc.Interpolated timing recovery system for communication transceivers
US7382823B1 (en)*2002-02-222008-06-03Xilinx, Inc.Channel bonding control logic architecture
US7126956B2 (en)*2002-03-052006-10-24Applied Micro Circuits CorporationSystem to provide fractional bandwidth data communications services
US6646546B1 (en)*2002-03-052003-11-11Omninet Capital, LlcMultiple-port gigabit ethernet distribution switch
FR2837296B1 (en)*2002-03-152004-06-25Airbus France DEVICE AND METHOD FOR ACQUIRING MEASUREMENTS USING A DIGITAL COMMUNICATION BUS, USED IN PARTICULAR DURING TESTS OF AN AIRCRAFT
US7398209B2 (en)2002-06-032008-07-08Voicebox Technologies, Inc.Systems and methods for responding to natural language speech utterance
US7796544B2 (en)*2002-06-072010-09-14Tokyo Electron LimitedMethod and system for providing an analog front end for multiline transmission in communication systems
US7292629B2 (en)2002-07-122007-11-06Rambus Inc.Selectable-tap equalizer
US8861667B1 (en)2002-07-122014-10-14Rambus Inc.Clock data recovery circuit with equalizer clock calibration
DE10231648B4 (en)*2002-07-122007-05-03Infineon Technologies Ag Method and device for stuffing control
US7693720B2 (en)*2002-07-152010-04-06Voicebox Technologies, Inc.Mobile systems and methods for responding to natural language speech utterance
TWI222794B (en)*2002-11-072004-10-21Realtek Semiconductor CorpInitialization method for network system
US7324589B2 (en)*2003-02-052008-01-29Fujitsu LimitedMethod and system for providing error compensation to a signal using feedback control
ATE379909T1 (en)*2003-03-142007-12-15Upzide Labs Ab ARRANGEMENTS AND METHOD FOR A DIGITAL COMMUNICATIONS SYSTEM
US7512150B2 (en)*2003-03-242009-03-31Applied Micro Circuits Corporation10 GbE LAN signal mapping to OTU2 signal
US7505537B1 (en)2003-03-252009-03-17Marvell International Ltd.System and method for controlling gain and timing phase in a presence of a first least mean square filter using a second adaptive filter
US20040193975A1 (en)*2003-03-262004-09-30Tarango Tony M.Method and an apparatus for transmit phase select
US7340662B1 (en)*2003-04-302008-03-04Mcelwee James FrancisGBit/s transceiver with built-in self test features
US7433401B1 (en)2003-05-222008-10-07Marvell International Ltd.Mixed-mode signal processor architecture and device
US7653127B2 (en)*2004-03-022010-01-26Xilinx, Inc.Bit-edge zero forcing equalizer
US7903777B1 (en)2004-03-032011-03-08Marvell International Ltd.System and method for reducing electromagnetic interference and ground bounce in an information communication system by controlling phase of clock signals among a plurality of information communication devices
CN101002386B (en)*2004-04-092011-03-09上海奇普科技有限公司 Apparatus and method for controlling operation of an equalizer
GB0420183D0 (en)*2004-09-102004-10-13Ttp Communications LtdMetric calculation utilising pre-stored values
KR100660841B1 (en)*2004-10-222006-12-26삼성전자주식회사 Partial Tap Adaptive Equalizer with Overlapping Filter Banks and Equalization Method Using the Same
US8355457B2 (en)*2004-10-262013-01-15Lsi CorporationCorrection-calculation algorithm for estimation of the signal to noise ratio in high bit rate DMT modulation
US7298173B1 (en)2004-10-262007-11-20Marvell International Ltd.Slew rate control circuit for small computer system interface (SCSI) differential driver
TWI248274B (en)*2004-10-272006-01-21Ic Plus CorpLook-ahead equalizer and method of determining output of look-ahead equalizer
CN101044731A (en)*2004-11-122007-09-26英特尔公司Method and apparatus to perform equalization and decoding for a communication system
US8189652B2 (en)*2004-11-152012-05-29Koninklijke Philips Electronics N.V.Method and apparatus for detecting high-mobility state of mobile terminal and related device
JP4848660B2 (en)*2005-03-302011-12-28ソニー株式会社 Information processing distributed system, information processing apparatus, and information processing distributed method
US7564904B2 (en)*2005-05-032009-07-21Texas Instruments IncorporatedApparatus for and method of detection of powered devices over a network
US7702053B2 (en)*2005-05-052010-04-20Broadcom CorporationState based algorithm to minimize mean squared error
US7640160B2 (en)2005-08-052009-12-29Voicebox Technologies, Inc.Systems and methods for responding to natural language speech utterance
US7312662B1 (en)2005-08-092007-12-25Marvell International Ltd.Cascode gain boosting system and method for a transmitter
US7620549B2 (en)2005-08-102009-11-17Voicebox Technologies, Inc.System and method of supporting adaptive misrecognition in conversational speech
US7116256B1 (en)2005-08-162006-10-03Adtran, Inc.Pulse shaping apparatus and method
US7949529B2 (en)*2005-08-292011-05-24Voicebox Technologies, Inc.Mobile systems and methods of supporting natural language human-machine interactions
US7634409B2 (en)*2005-08-312009-12-15Voicebox Technologies, Inc.Dynamic speech sharpening
US7450535B2 (en)*2005-12-012008-11-11Rambus Inc.Pulsed signaling multiplexer
US7580824B1 (en)*2005-12-212009-08-25Altera CorporationApparatus and methods for modeling power characteristics of electronic circuitry
US8284870B1 (en)*2006-02-072012-10-09Link—A—Media Devices CorporationTiming loop
US7917563B1 (en)2006-02-072011-03-29Link—A—Media Devices CorporationRead channel processor
KR101260835B1 (en)*2006-02-282013-05-06삼성전자주식회사Apparatus and method for transceiving a signal in a multi antenna system
US7912147B2 (en)*2006-03-152011-03-22The Texas A&M University SystemCompress-forward coding with N-PSK modulation for the half-duplex Gaussian relay channel
US8020082B2 (en)*2006-03-152011-09-13The Texas A & M University SystemSource-channel approach to channel coding with side information
US20080240224A1 (en)*2006-04-182008-10-02Carballo Juan AStructure for one-sample-per-bit decision feedback equalizer (dfe) clock and data recovery
US7809054B2 (en)*2006-04-182010-10-05International Business Machines CorporationOne-sample-per-bit decision feedback equalizer (DFE) clock and data recovery
US8235901B2 (en)*2006-04-262012-08-07Insightec, Ltd.Focused ultrasound system with far field tail suppression
US7778314B2 (en)*2006-05-042010-08-17Texas Instruments IncorporatedApparatus for and method of far-end crosstalk (FEXT) detection and estimation
US8379755B2 (en)*2006-05-052013-02-19Samsung Electronics Co., Ltd.RF transmitter with adaptive digital filtering
TWI305087B (en)*2006-05-252009-01-01Ind Tech Res InstPower adjusting device for a viterbi decoder
KR20070114557A (en)*2006-05-292007-12-04삼성전자주식회사 Semiconductor memory element having fuse and method for forming same
US7804921B2 (en)2006-05-302010-09-28Fujitsu LimitedSystem and method for decoupling multiple control loops
US7764757B2 (en)*2006-05-302010-07-27Fujitsu LimitedSystem and method for the adjustment of offset compensation applied to a signal
US7787534B2 (en)*2006-05-302010-08-31Fujitsu LimitedSystem and method for adjusting offset compensation applied to a signal
US7801208B2 (en)*2006-05-302010-09-21Fujitsu LimitedSystem and method for adjusting compensation applied to a signal using filter patterns
US7817757B2 (en)*2006-05-302010-10-19Fujitsu LimitedSystem and method for independently adjusting multiple offset compensations applied to a signal
US7804894B2 (en)2006-05-302010-09-28Fujitsu LimitedSystem and method for the adjustment of compensation applied to a signal using filter patterns
US7839955B2 (en)*2006-05-302010-11-23Fujitsu LimitedSystem and method for the non-linear adjustment of compensation applied to a signal
US7839958B2 (en)2006-05-302010-11-23Fujitsu LimitedSystem and method for the adjustment of compensation applied to a signal
US7817712B2 (en)*2006-05-302010-10-19Fujitsu LimitedSystem and method for independently adjusting multiple compensations applied to a signal
US7848470B2 (en)*2006-05-302010-12-07Fujitsu LimitedSystem and method for asymmetrically adjusting compensation applied to a signal
US7760798B2 (en)*2006-05-302010-07-20Fujitsu LimitedSystem and method for adjusting compensation applied to a signal
US20070280388A1 (en)*2006-05-312007-12-06Texas Instruments IncorporatedApparatus for and method of canceller tap shutdown in a communication system
US20100115306A1 (en)*2008-11-052010-05-06Wael William DiabMethod and system for control of energy efficiency and associated policies in a physical layer device
US8565105B2 (en)*2008-09-292013-10-22Broadcom CorporationMethod and system for ethernet switching, conversion, and PHY optimization based on link length in audio/video systems
US7659790B2 (en)*2006-08-222010-02-09Lecroy CorporationHigh speed signal transmission line having reduced thickness regions
US7378832B2 (en)*2006-08-222008-05-27Lecroy CorporationProbing high-frequency signals
US8073681B2 (en)2006-10-162011-12-06Voicebox Technologies, Inc.System and method for a cooperative conversational voice user interface
US7729464B2 (en)*2006-12-222010-06-01Teranetics, Inc.Aiding synchronization between master and slave transceivers
US7818176B2 (en)*2007-02-062010-10-19Voicebox Technologies, Inc.System and method for selecting and presenting advertisements based on natural language processing of voice-based input
US8665902B2 (en)*2007-03-122014-03-04Broadcom CorporationMethod and system for reducing transceiver power via a variable symbol rate
US8615018B2 (en)*2007-03-122013-12-24Broadcom CorporationMethod and system for dynamically determining when to train ethernet link partners to support energy efficient ethernet networks
US7962670B2 (en)*2007-06-062011-06-14Lantiq Deutschland GmbhPin multiplexing
US20080309349A1 (en)*2007-06-152008-12-18Computer Access Technology CorporationFlexible interposer system
US7751350B1 (en)*2007-08-032010-07-06William George PabstFull duplex network radio bridge with low latency and high throughput
US20090097401A1 (en)2007-10-122009-04-16Wael William DiabMethod and system for configurable data rate thresholds for energy efficient ethernet
TWI385941B (en)*2007-10-162013-02-11Realtek Semiconductor CorpMethod and apparatus for canceling channel interference
GB0721305D0 (en)*2007-10-302007-12-12Nokia CorpA transmitter
US8140335B2 (en)*2007-12-112012-03-20Voicebox Technologies, Inc.System and method for providing a natural language voice user interface in an integrated voice navigation services environment
US8194548B2 (en)*2007-12-172012-06-05Broadcom CorporationMethod and system for duty cycling portions of a network device based on aggregate throughput of the device
US8588254B2 (en)*2007-12-172013-11-19Broadcom CorporationMethod and system for energy efficient signaling for 100mbps Ethernet using a subset technique
EP2241050B1 (en)2008-02-012018-08-08Rambus Inc.Receiver with enhanced clock and data recovery
GB2457986A (en)*2008-03-062009-09-09Cambridge Silicon Radio LtdAcoustic echo cancellation
US8201066B1 (en)2008-03-282012-06-12Western Digital Technologies, Inc.Disk drive comprising a trellis detector having a read signal whitener in the ACS circuit
US20090292962A1 (en)*2008-05-232009-11-26Arm LimitedIntegrated circuit with inter-symbol interference self-testing
US8179952B2 (en)*2008-05-232012-05-15Integrated Device Technology Inc.Programmable duty cycle distortion generation circuit
US8194721B2 (en)*2008-05-232012-06-05Integrated Device Technology, IncSignal amplitude distortion within an integrated circuit
US8259888B2 (en)*2008-05-232012-09-04Integrated Device Technology, Inc.Method of processing signal data with corrected clock phase offset
US8589161B2 (en)2008-05-272013-11-19Voicebox Technologies, Inc.System and method for an integrated, multi-modal, multi-device natural language voice services environment
US9305548B2 (en)2008-05-272016-04-05Voicebox Technologies CorporationSystem and method for an integrated, multi-modal, multi-device natural language voice services environment
TWI404392B (en)*2008-06-252013-08-01Realtek Semiconductor CorpTiming control for multi-channel full-duplex transceiver and thereof method
EP2161879B1 (en)*2008-07-082019-02-20Avago Technologies International Sales Pte. LimitedMethod comprising determining when to refresh an outdated parameter in an ethernet link, corresponding system and corresponding machine-readable storage
US8170606B2 (en)*2008-10-152012-05-01Apple Inc.Dynamic thermal control for wireless transceivers
US8244296B2 (en)*2008-10-152012-08-14Apple Inc.Dynamic thermal control for wireless transceivers
US8982753B2 (en)*2008-11-052015-03-17Broadcom CorporationMethod and system for low latency state transitions for energy efficiency
JP5088304B2 (en)*2008-11-272012-12-05富士通株式会社 Communications system
US8326637B2 (en)2009-02-202012-12-04Voicebox Technologies, Inc.System and method for processing multi-modal device interactions in a natural language voice services environment
US8230240B2 (en)*2009-04-082012-07-24Broadcom CorporationMethod and system for energy efficient networking over a serial communication channel based on forward error correction support
US9160405B1 (en)2009-04-162015-10-13Altera CorporationSelf-tuning high speed transceiver for IC wireline channel
JP5574346B2 (en)*2009-05-222014-08-20パナソニック インテレクチュアル プロパティ コーポレーション オブ アメリカ Encoding device, decoding device, and methods thereof
TWI392296B (en)*2009-06-152013-04-01Realtek Semiconductor CorpCommunication signal receiver and signal processing method thereof
TWI389530B (en)*2009-08-042013-03-11Ralink Technology CorpStart-up procedure method and timing recovery for receiver of communication system
US8392804B2 (en)*2009-09-012013-03-05Texas Instruments IncorporatedReceiver power saving via block code failure detection
US8898216B2 (en)*2009-10-132014-11-25Fermi Research Alliance, LlcDistributed data acquisition and processing system and method
WO2011059997A1 (en)2009-11-102011-05-19Voicebox Technologies, Inc.System and method for providing a natural language content dedication service
US9171541B2 (en)2009-11-102015-10-27Voicebox Technologies CorporationSystem and method for hybrid processing in a natural language voice services environment
TWI420862B (en)2010-04-012013-12-21Realtek Semiconductor CorpEqualizer and signal receiver thereof
WO2011133333A2 (en)*2010-04-232011-10-27Rambus Inc.Partial response decision feedback equalizer with distributed control
US8787776B2 (en)2010-06-042014-07-22The Governing Council Of The University Of TorontoOptical receiver with monolithically integrated photodetector
JP4861509B1 (en)*2010-10-292012-01-25株式会社東芝 Encoding / decoding device, data storage device, and method
US8559497B2 (en)*2011-03-142013-10-15Lsi CorporationAdaptation of delay line feedback equalizer
US20120289174A1 (en)*2011-05-092012-11-15Bae Systems Information & Electronic Systems Integration, Inc.Compact dual transceiver module for a software defined tactical radio
US8779847B1 (en)2011-07-132014-07-15Marvell International Ltd.Systems and methods for finite impulse response adaptation for gain and phase control
US20130028299A1 (en)*2011-07-262013-01-31Himax Media Solutions, Inc.Adaptive ethernet transceiver with joint decision feedback equalizer and trellis decoder
US8576903B2 (en)2011-10-182013-11-05Transwitch CorporationTechniques for adaptively adjusting decision levels of a PAM-N decision feedback equalizer
JP2014533017A (en)*2011-10-272014-12-08エルエスアイ コーポレーション Processor with instruction set including user-defined nonlinear functions for digital predistortion (DPD) and other nonlinear applications
RU2012102842A (en)2012-01-272013-08-10ЭлЭсАй Корпорейшн INCREASE DETECTION OF THE PREAMBLE
US8879616B2 (en)2011-10-312014-11-04Hewlett-Packard Development Company, L.P.Receiver with decision feedback equalizer
US8861663B1 (en)*2011-12-012014-10-14Aquantia CorporationCorrelated noise canceller for high-speed ethernet receivers
US8938035B1 (en)2012-02-292015-01-20Marvell International Ltd.System and method for transferring data over a two-pair communication system
US8982984B2 (en)*2012-06-202015-03-17MagnaCom Ltd.Dynamic filter adjustment for highly-spectrally-efficient communications
US9282046B1 (en)*2012-11-152016-03-08Qlogic, CorporationSmoothing FIFO and methods thereof
US9764468B2 (en)*2013-03-152017-09-19Brain CorporationAdaptive predictor apparatus and methods
US8879720B2 (en)*2013-03-172014-11-04Revolabs, Inc.Acoustic echo cancellation using a variable length adaptive filter
US9813223B2 (en)2013-04-172017-11-07Intel CorporationNon-linear modeling of a physical system using direct optimization of look-up table values
US9923595B2 (en)2013-04-172018-03-20Intel CorporationDigital predistortion for dual-band power amplifiers
US9154187B2 (en)2013-05-242015-10-06Nxp B.V.System and method for operating a filter for echo cancellation
KR101491132B1 (en)2013-10-042015-02-10주식회사 에치에프알Method and system for transmitting and receiving data based on ethernet
US9172567B2 (en)2013-11-252015-10-27Qualcomm IncorporatedMethods and apparatus to reduce signaling power
GB2525428A (en)2014-04-242015-10-28IbmDecision-Feedback Analyzer and methods for operating the same
WO2016044290A1 (en)2014-09-162016-03-24Kennewick Michael RVoice commerce
WO2016044321A1 (en)2014-09-162016-03-24Min TangIntegration of domain information into state transitions of a finite state transducer for natural language processing
CN107003999B (en)2014-10-152020-08-21声钰科技System and method for subsequent response to a user's prior natural language input
US10614799B2 (en)2014-11-262020-04-07Voicebox Technologies CorporationSystem and method of providing intent predictions for an utterance prior to a system detection of an end of the utterance
US10431214B2 (en)2014-11-262019-10-01Voicebox Technologies CorporationSystem and method of determining a domain and/or an action related to a natural language input
US10271728B2 (en)2015-01-262019-04-30Northeastern UniversityUltrasonic network for wearable devices
JP6532777B2 (en)*2015-07-022019-06-19株式会社日立製作所 Equalizer
WO2017143509A1 (en)*2016-02-232017-08-31Huawei Technologies Co., Ltd.Ffe-aided cdr to calibrate phase offset and enhance gain in a baud rate sampling phase detector
US10572416B1 (en)*2016-03-282020-02-25Aquantia CorporationEfficient signaling scheme for high-speed ultra short reach interfaces
US10331784B2 (en)2016-07-292019-06-25Voicebox Technologies CorporationSystem and method of disambiguating natural language processing requests
RU2656834C2 (en)*2016-10-212018-06-06Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Томский государственный университет систем управления и радиоэлектроники"Improved delay line, protecting against short-term pulses with the increased duration
CN112514260A (en)2018-08-032021-03-16拉姆伯斯公司Offset calibration for successive approximation register analog-to-digital converter
CN111381871B (en)*2018-12-282022-12-09上海寒武纪信息科技有限公司 Computing method, device and related products
KR102549607B1 (en)*2019-01-282023-06-29삼성전자주식회사Electronic circuit capable of selectively compensating for crosstalk noise and inter-symbol interference
US11855056B1 (en)2019-03-152023-12-26Eliyan CorporationLow cost solution for 2.5D and 3D packaging using USR chiplets
US11092648B2 (en)*2019-04-152021-08-17Grammatech, Inc.Systems and/or methods for anomaly detection and characterization in integrated circuits
US11296904B1 (en)*2019-05-222022-04-05Marvell Asia Pte LtdAsymmetric energy efficient ethernet
US11171815B2 (en)*2020-01-212021-11-09Credo Technology Group LimitedDigital equalizer with overlappable filter taps
US11316707B2 (en)2020-03-132022-04-26Texas Instruments IncorporatedLow power methods for signal processing blocks in ethernet PHY
US11050435B1 (en)*2020-04-242021-06-29Synaptics IncorporatedSample rate conversion circuit with noise shaping modulation
KR102861370B1 (en)*2020-05-182025-09-17삼성전자주식회사Clock and data recovery circuit and reception device having the same
CN112019237B (en)*2020-07-292021-08-20苏州浪潮智能科技有限公司 A device and method for calibrating transmission line crosstalk based on electronic equipment
EP4057575A1 (en)2021-03-102022-09-14Marvell Asia Pte, Ltd.Configurable transfer rates over a two-way ethernet link
TWI757150B (en)*2021-04-142022-03-01瑞昱半導體股份有限公司Echo canceller system and echo cancelling method
US11855043B1 (en)2021-05-062023-12-26Eliyan CorporationComplex system-in-package architectures leveraging high-bandwidth long-reach die-to-die connectivity over package substrates
US12438095B1 (en)2021-05-062025-10-07Eliyan Corp.Complex system-in-package architectures leveraging high-bandwidth long-reach die-to-die connectivity over package substrates
US12204794B1 (en)2021-05-182025-01-21Eliyan CorporationArchitecture for DRAM control optimization using simultaneous bidirectional memory interfaces
US11842986B1 (en)2021-11-252023-12-12Eliyan CorporationMulti-chip module (MCM) with interface adapter circuitry
US12190038B1 (en)2021-11-252025-01-07Eliyan CorporationMulti-chip module (MCM) with multi-port unified memory
US11784779B2 (en)2021-12-092023-10-10Marvell Asia Pte LtdAutomotive asymmetric ethernet using a frequency-division duplex scheme with a low-rate echo cancelation
US11841815B1 (en)2021-12-312023-12-12Eliyan CorporationChiplet gearbox for low-cost multi-chip module applications
CN118679680A (en)*2022-02-112024-09-20华为技术有限公司Techniques for energy saving and intelligent echo cancellation
US11831477B2 (en)2022-04-042023-11-28Dell Products L.P.Link training scheme for high-speed serializer/deserializer
US12248419B1 (en)2022-05-262025-03-11Eliyan CorporationInterface conversion circuitry for universal chiplet interconnect express (UCIe)
US12058874B1 (en)2022-12-272024-08-06Eliyan CorporationUniversal network-attached memory architecture
US12182040B1 (en)2023-06-052024-12-31Eliyan CorporationMulti-chip module (MCM) with scalable high bandwidth memory
US12204482B1 (en)2023-10-092025-01-21Eliyan CorporationMemory chiplet with efficient mapping of memory-centric interface to die-to-die (D2D) unit interface modules
US12248413B1 (en)2023-10-112025-03-11Eliyan CorporationUniversal memory interface utilizing die-to-die (D2D) interfaces between chiplets

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
JPS5125283B1 (en)*1971-04-301976-07-30
US4422175A (en)*1981-06-111983-12-20Racal-Vadic, Inc.Constrained adaptive equalizer
US4631735A (en)1984-12-281986-12-23Codex CorporationCoded modulation system with feedback
US4713829A (en)1985-06-191987-12-15Codex CorporationCoded modulation system with a simplified decoder capable of reducing the effects of channel distortion
US4805215A (en)*1986-10-011989-02-14Racal Data Communications Inc.Adaptive echo canceller with sparse dynamically positioned taps
FR2606239A1 (en)*1986-10-301988-05-06Bull Sa METHOD AND DEVICE FOR TRANSMITTING DIGITAL DATA
GB2219469A (en)1988-06-021989-12-06Philips Electronic AssociatedA decision feedback equaliser and a method of operating a decision feedback equaliser
US5142377A (en)*1988-04-061992-08-25Pioneer Electronic CorporationTime base correction apparatus
US5031195A (en)*1989-06-051991-07-09International Business Machines CorporationFully adaptive modem receiver using whitening matched filtering
US5388092A (en)1989-06-271995-02-07Nec CorporationEcho canceller for two-wire full duplex digital data transmission
US5056117A (en)*1989-08-071991-10-08At&T Bell LaboratoriesDecision feedback equalization with trellis coding
US5031194A (en)*1989-08-111991-07-09Bell Communications Research, Inc.Wideband digital equalizers for subscriber loops
US5119401A (en)*1989-11-171992-06-02Nec CorporationDecision feedback equalizer including forward part whose signal reference point is shiftable depending on channel response
US5159282A (en)1989-12-061992-10-27Kabushiki Kaisha ToshibaDemodulation apparatus incorporating adaptive equalizer for digital communication
GB2252221B (en)1991-01-241995-01-18Roke Manor ResearchImprovements in or relating to equalisers for digital radio communication systems
US5208829A (en)*1991-03-261993-05-04Hughes Aircraft CompanyCommunication satellite system having an increased power output density per unit of bandwidth
US5283811A (en)*1991-09-031994-02-01General Electric CompanyDecision feedback equalization for digital cellular radio
US5249205A (en)*1991-09-031993-09-28General Electric CompanyOrder recursive lattice decision feedback equalization for digital cellular radio
US5539773A (en)1992-02-171996-07-23Thomson Consumer Electronics S.A.Method and apparatus for ghost cancelling and/or equalizing
JPH05315977A (en)1992-05-121993-11-26Hitachi Ltd Soft-decision maximum likelihood decoding method and decoder
US5485490A (en)1992-05-281996-01-16Rambus, Inc.Method and circuitry for clock synchronization
JP3176474B2 (en)*1992-06-032001-06-18沖電気工業株式会社 Adaptive noise canceller device
US5726607A (en)*1992-06-151998-03-10Adc Telecommunications, Inc.Phase locked loop using a counter and a microcontroller to produce VCXO control signals
US5416799A (en)*1992-08-101995-05-16Stanford Telecommunications, Inc.Dynamically adaptive equalizer system and method
JP2720721B2 (en)*1992-08-211998-03-04日本電気株式会社 Modem
US5307405A (en)1992-09-251994-04-26Qualcomm IncorporatedNetwork echo canceller
US5526347A (en)1992-11-021996-06-11Advanced Micro Devices, Inc.Decorrelation controller for an adaptive echo cancellor
JP3131055B2 (en)*1992-12-152001-01-31富士通株式会社 Apparatus and method for determining timing phase of data communication modem
CA2117035C (en)1993-03-051997-02-18Akihiko SugiyamaMethod and apparatus for rapid identification of an unknown system based on an echo signal having a plurality of dispersive portions
JPH06318885A (en)1993-03-111994-11-15Nec CorpUnknown system identifying method/device using band division adaptive filter
JP3071976B2 (en)1993-03-292000-07-31株式会社日立製作所 Bus type clock supply system for communication systems
US5825777A (en)*1995-05-051998-10-20Creative Integrated Systems, Inc.Home and small business phone system for operation on a single internal twisted pair line and methodology for operating the same
JP3023616B2 (en)*1993-06-142000-03-21インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン Adaptive noise prediction partial response equalization for channels with spectral nulls
US5428361A (en)*1993-08-061995-06-27Rockwell International CorporationLarge time-bandwidth chirp pulse generator
JP3102221B2 (en)*1993-09-102000-10-23三菱電機株式会社 Adaptive equalizer and adaptive diversity equalizer
US5521767A (en)*1993-09-171996-05-28Quantum CorporationOptimized equalizer system for data recovery and timing extraction in partial response read channels
US5513215A (en)*1993-09-201996-04-30Glenayre Electronics, Inc.High speed simulcast data system using adaptive compensation
JPH07123027A (en)1993-10-261995-05-12Fujitsu Ltd Digital subscriber line transmission equipment
CA2130871C (en)*1993-11-051999-09-28John M. AlderMethod and apparatus for a phase-locked loop circuit with holdover mode
US5414733A (en)*1993-12-201995-05-09AdtranDecision feedback equalizer employing fixed ratio postcursor taps for minimizing noise and intersymbol interference in signals conveyed over high speed data service loop
US5539774A (en)*1994-06-151996-07-23International Business Machines CorporationDual decision equalization method and device
JP2669350B2 (en)*1994-07-071997-10-27日本電気株式会社 Maximum likelihood sequence estimator with variable number of states
JP2643852B2 (en)1994-08-311997-08-20日本電気株式会社 Echo canceller
JP3336126B2 (en)1994-09-052002-10-21富士通株式会社 Echo canceller waveform distortion compensator
US5581585A (en)*1994-10-211996-12-03Level One Communications, Inc.Phase-locked loop timing recovery circuit
FI97836C (en)*1994-10-311997-02-25Nokia Telecommunications Oy Method of forming a data communication connection
US5497401A (en)1994-11-181996-03-05Thomson Consumer Electronics, Inc.Branch metric computer for a Viterbi decoder of a punctured and pragmatic trellis code convolutional decoder suitable for use in a multi-channel receiver of satellite, terrestrial and cable transmitted FEC compressed-digital television data
US5745564A (en)1995-01-261998-04-28Northern Telecom LimitedEcho cancelling arrangement
DE19506324C1 (en)*1995-02-231995-10-12Siemens AgAdaptive balance filter guaranteeing optimal matching to line
US5525928A (en)*1995-02-271996-06-11Silicon Systems, Inc.Filter boost preattenuator
US5604741A (en)1995-03-161997-02-18Broadcom CorporationEthernet system
JP3264142B2 (en)*1995-06-082002-03-11富士通株式会社 PLL control method
US5675612A (en)1995-07-131997-10-07Telefonaktiebolaget Lm EricssonMethod and apparatus for timing recovery
US5646957A (en)1995-07-281997-07-08Lucent Technologies Inc.Burst update for an adaptive equalizer
US5694437A (en)*1995-10-101997-12-02Motorola, Inc.Device and method for data signal detection in the presence of distortion and interference in communication systems
US5757855A (en)*1995-11-291998-05-26David Sarnoff Research Center, Inc.Data detection for partial response channels
DE19545473C2 (en)1995-12-061998-03-12Kommunikations Elektronik Process for digital message transmission over an electrical cable
JP2924762B2 (en)*1996-02-281999-07-26日本電気株式会社 Adaptive filter and adaptation method thereof
US5892801A (en)*1996-03-041999-04-06Adtran, Inc.Decision path reduction of M-ary tree-search detector
US5815529A (en)*1996-04-041998-09-29Lucent Technologies Inc.Transmission system for digital audio broadcasting that incorporates a rotator in the transmitter
EP2280494A3 (en)*1996-04-262011-12-07AT & T Corp.Method and apparatus for data transmission using multiple transmit antennas
US6072433A (en)*1996-07-312000-06-06California Institute Of TechnologyAutonomous formation flying sensor
US5968198A (en)*1996-08-161999-10-19Ericsson, Inc.Decoder utilizing soft information output to minimize error rates
DE69623284T2 (en)1996-09-242003-04-17Hewlett Packard Co Data processing equipment and method
US5909384A (en)*1996-10-041999-06-01Conexant Systems, Inc.System for dynamically adapting the length of a filter
US5999567A (en)*1996-10-311999-12-07Motorola, Inc.Method for recovering a source signal from a composite signal and apparatus therefor
US5909463A (en)*1996-11-041999-06-01Motorola, Inc.Single-chip software configurable transceiver for asymmetric communication system
US6084907A (en)*1996-12-092000-07-04Matsushita Electric Industrial Co., Ltd.Adaptive auto equalizer
US6177951B1 (en)*1996-12-182001-01-23Philips Electronics North America CorporationDigital receiver which utilizes a rejection filter for cancellation of known co-channel interference and an equalizer for equalizing multipath channels without attempting to equalize the co-channel interference
US5987069A (en)*1996-12-241999-11-16Gte Government Systems CorporationMethod and apparatus for variably allocating upstream and downstream communication spectra
US6246716B1 (en)*1997-01-312001-06-12Adtran, Inc.Information communication system
US5933495A (en)1997-02-071999-08-03Texas Instruments IncorporatedSubband acoustic noise suppression
US5946349A (en)1997-04-301999-08-31Lucent Technologies Inc.Method for coefficient smoothing in adaptive equalizer systems
US5937007A (en)*1997-04-301999-08-10Lucent Technologies Inc.Method for providing tap leakage in adaptive equalizer systems
US6633894B1 (en)*1997-05-082003-10-14Legerity Inc.Signal processing arrangement including variable length adaptive filter and method therefor
US6009120A (en)1997-06-261999-12-28Rockwell Science Center, Inc.Multi-dimensional combined equalizer and decoder
US5872817A (en)*1997-07-021999-02-16Lucent Technologies Inc.Joint viterbi decoder and decision feedback equalizer
SE512008C2 (en)*1997-09-192000-01-10Ericsson Telefon Ab L M Procedure and arrangement for demodulation of data symbols
US6377619B1 (en)*1997-09-262002-04-23Agere Systems Guardian Corp.Filter structure and method
US6081562A (en)*1997-10-222000-06-27Hitachi Ltd.Implementing reduced-state viterbi detectors
US6002279A (en)1997-10-241999-12-14G2 Networks, Inc.Clock recovery circuit
JP3335570B2 (en)*1997-11-172002-10-21沖電気工業株式会社 Spread spectrum communication equipment
US6012161A (en)*1997-11-262000-01-04At&T Corp.System and method for joint coding and decision feedback equalization
US6166142A (en)*1998-01-272000-12-26E. I. Du Pont De Nemours And CompanyAdhesive compositions based on blends of grafted metallocene catalyzed and polar ethylene copolymers
US6151370A (en)*1998-02-122000-11-21Lucent Technologies Inc.Path-oriented decoder for signal-dependent noise
US6233286B1 (en)*1998-03-272001-05-15Lucent Technologies Inc.Path-oriented decoder using refined receiver trellis diagram
US6370189B1 (en)*1998-05-272002-04-09Ericsson Inc.Apparatus and methods for variable delay channel tracking
US6724844B1 (en)*1998-06-302004-04-20Koninklijke Philips Electronics N.V.Method and device for improving DFE performance in a trellis-coded system
US6327311B1 (en)*1998-10-092001-12-04Broadcom Homenetworking, Inc.Frequency diverse single carrier modulation for robust communication over in-premises wiring
US6373888B1 (en)*1998-10-092002-04-16Telefonaktiebolaget Lm Ericsson (Publ)Estimated channel with variable number of taps
US6438164B2 (en)*1998-11-032002-08-20Broadcom CorporationTechnique for minimizing decision feedback equalizer wordlength in the presence of a DC component
US6477200B1 (en)1998-11-092002-11-05Broadcom CorporationMulti-pair gigabit ethernet transceiver
US6249544B1 (en)1998-11-132001-06-19Broadcom CorporationSystem and method for high-speed decoding and ISI compensation in a multi-pair transceiver system
US6252904B1 (en)1998-11-132001-06-26Broadcom CorporationHigh-speed decoder for a multi-pair gigabit transceiver
US6535554B1 (en)*1998-11-172003-03-18Harris CorporationPCS signal separation in a one dimensional channel
US6463106B1 (en)*1998-11-182002-10-08Agere Systems Guardian Corp.Receiver with adaptive processing
US6690754B1 (en)*1999-06-042004-02-10Agere Systems Inc.Method and apparatus for reducing the computational complexity and relaxing the critical path of reduced state sequence estimation (RSSE) techniques
US6570919B1 (en)*1999-07-302003-05-27Agere Systems Inc.Iterative decoding of data packets employing decision feedback equalization
US6690739B1 (en)*2000-01-142004-02-10Shou Yee MuiMethod for intersymbol interference compensation
US6744814B1 (en)*2000-03-312004-06-01Agere Systems Inc.Method and apparatus for reduced state sequence estimation with tap-selectable decision-feedback

Cited By (31)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US20020150155A1 (en)*2001-02-262002-10-17Itzhak FlorentinConvergence speed, lowering the excess noise and power consumption of equalizers
US20050238117A1 (en)*2002-04-232005-10-27Steven WashakowskiMethod and device for pulse shaping qpsk signals
US7346125B2 (en)*2002-04-232008-03-18Raytheon CompanyMethod and device for pulse shaping QPSK signals
US7406118B2 (en)*2003-09-112008-07-29Xilinx, Inc.Programmable logic device including programmable multi-gigabit transceivers
US20050058187A1 (en)*2003-09-112005-03-17Xilinx, Inc.Programmable logic device including programmable multi-gigabit transceivers
US8804755B2 (en)*2005-11-172014-08-12Broadcom CorporationPower dissipation management for wired transceivers
US7856028B2 (en)*2005-11-172010-12-21Broadcom CorporationPower dissipation management for wired transceivers
US20110116357A1 (en)*2005-11-172011-05-19Broadcom CorporationPower Dissipation Management for Wired Transceivers
US8520553B2 (en)*2005-11-172013-08-27Broadcom CorporationPower dissipation management for wired transceivers
US20130322555A1 (en)*2005-11-172013-12-05Broadcom CorporationPower Dissipation Management for Wired Transceivers
US20070121663A1 (en)*2005-11-172007-05-31Broadcom CorporationPower dissipation management for wired transceivers
TWI414165B (en)*2008-09-022013-11-01Realtek Semiconductor CorpTransceiver in communication system and start-up method thereof
US20120069875A1 (en)*2010-09-212012-03-22Fuji Xerox Co., Ltd.Communication device and communication system
CN102412927A (en)*2010-09-212012-04-11富士施乐株式会社Communication device and communication system
US8494034B2 (en)*2010-09-212013-07-23Fuji Xerox Co., Ltd.Communication device and communication system
US20140247906A1 (en)*2011-11-162014-09-04Huawei Technologies Co., Ltd.Microwave Predistorted Signal Generating Method and Apparatus
US9008153B2 (en)*2011-11-162015-04-14Huawei Technologies Co., Ltd.Microwave predistorted signal generating method and apparatus
US9910454B2 (en)*2012-06-072018-03-06Sonics, Inc.Synchronizer with a timing closure enhancement
US9787433B2 (en)*2013-06-142017-10-10Telefonaktiebolaget L M Ericsson (Publ)Demodulation technique
US20160142182A1 (en)*2013-06-142016-05-19Telefonaktiebolaget L M Ericsson (Publ)Demodulation technique
US20180013435A1 (en)*2016-07-112018-01-11Xilinx, Inc.Method and apparatus for clock phase generation
US9954539B2 (en)*2016-07-112018-04-24Xilinx, Inc.Method and apparatus for clock phase generation
CN109478890A (en)*2016-07-112019-03-15赛灵思公司 Method and apparatus for clock phase generation
US11245411B2 (en)*2019-09-232022-02-08Realtek Semiconductor Corp.Receiver and associated signal processing method
US11356142B2 (en)*2019-11-052022-06-07Realtek Semiconductor Corp.Transceiver and signal processing method applied in transceiver
US11038602B1 (en)*2020-02-052021-06-15Credo Technology Group LimitedOn-chip jitter evaluation for SerDes
CN115298965A (en)*2020-03-132022-11-04德克萨斯仪器股份有限公司 Low power method for signal processing blocks in Ethernet physical layer
US10992501B1 (en)2020-03-312021-04-27Credo Technology Group LimitedEye monitor for parallelized digital equalizers
US10892763B1 (en)*2020-05-142021-01-12Credo Technology Group LimitedSecond-order clock recovery using three feedback paths
US12425070B1 (en)*2021-10-282025-09-23Marvell Asia Pte LtdAdaptive cancellation of asynchronous near-end crosstalk
CN119652423A (en)*2025-02-182025-03-18深圳中科德能科技有限公司 Signal balanced transmission method and device for high-speed optoelectronic interconnection

Also Published As

Publication numberPublication date
US20100309963A1 (en)2010-12-09
US6778602B2 (en)2004-08-17
US8306104B2 (en)2012-11-06
US6459746B2 (en)2002-10-01
US7197069B2 (en)2007-03-27
US8031799B2 (en)2011-10-04
US6731691B2 (en)2004-05-04
US7453935B2 (en)2008-11-18
US20080151988A1 (en)2008-06-26
US20090180529A1 (en)2009-07-16
US7801240B2 (en)2010-09-21
US20020122479A1 (en)2002-09-05
US20090296791A1 (en)2009-12-03
US20110064123A1 (en)2011-03-17
US7733952B2 (en)2010-06-08
US7769101B2 (en)2010-08-03
US7194028B2 (en)2007-03-20
US20040156461A1 (en)2004-08-12
US7801241B2 (en)2010-09-21
US7263134B2 (en)2007-08-28
US7305029B2 (en)2007-12-04
US20080049826A1 (en)2008-02-28
US20070183540A1 (en)2007-08-09
US20040071243A1 (en)2004-04-15
US20110096824A1 (en)2011-04-28
US20040071242A1 (en)2004-04-15
US6477200B1 (en)2002-11-05
US20030002575A1 (en)2003-01-02
US20070242739A1 (en)2007-10-18
US20040091038A1 (en)2004-05-13
US8451885B2 (en)2013-05-28
US7194029B2 (en)2007-03-20
US7792186B2 (en)2010-09-07
US20050036576A1 (en)2005-02-17
US20070195875A1 (en)2007-08-23
US7570701B2 (en)2009-08-04

Similar Documents

PublicationPublication DateTitle
US6477200B1 (en)Multi-pair gigabit ethernet transceiver
EP1129553B1 (en)Decision-feedback decoding method and system
US7434134B2 (en)System and method for trellis decoding in a multi-pair transceiver system
US6771725B2 (en)Multi-pair gigabit ethernet transceiver
US7711077B2 (en)System and method for high-speed decoding and ISI compensation in a multi-pair transceiver system
US8259787B2 (en)High-speed decoder for a multi-pair gigabit transceiver

Legal Events

DateCodeTitleDescription
STCFInformation on status: patent grant

Free format text:PATENTED CASE

FPAYFee payment

Year of fee payment:4

FPAYFee payment

Year of fee payment:8

FPAYFee payment

Year of fee payment:12

SULPSurcharge for late payment

Year of fee payment:11

ASAssignment

Owner name:BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA

Free format text:PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001

Effective date:20160201

Owner name:BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH

Free format text:PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001

Effective date:20160201

ASAssignment

Owner name:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001

Effective date:20170120

Owner name:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001

Effective date:20170120

ASAssignment

Owner name:BROADCOM CORPORATION, CALIFORNIA

Free format text:TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041712/0001

Effective date:20170119

ASAssignment

Owner name:AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text:MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047195/0026

Effective date:20180509

ASAssignment

Owner name:AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text:CORRECTIVE ASSIGNMENT TO CORRECT THE EFFECTIVE DATE OF MERGER PREVIOUSLY RECORDED ON REEL 047195 FRAME 0026. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047477/0423

Effective date:20180905


[8]ページ先頭

©2009-2025 Movatter.jp