Movatterモバイル変換


[0]ホーム

URL:


US20010034126A1 - Copper alloy seed layer for copper metallization - Google Patents

Copper alloy seed layer for copper metallization
Download PDF

Info

Publication number
US20010034126A1
US20010034126A1US08/878,143US87814397AUS2001034126A1US 20010034126 A1US20010034126 A1US 20010034126A1US 87814397 AUS87814397 AUS 87814397AUS 2001034126 A1US2001034126 A1US 2001034126A1
Authority
US
United States
Prior art keywords
copper
layer
copper alloy
alloying element
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US08/878,143
Other versions
US6387805B2 (en
Inventor
Peijun Ding
Tony Chiang
Imran Hashim
Bingix Sun
Barry Chin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/853,191external-prioritypatent/US6037257A/en
Application filed by IndividualfiledCriticalIndividual
Assigned to APPLIED MATERIALS, INCreassignmentAPPLIED MATERIALS, INCASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS).Assignors: CHIN, BARRY, CHIANG, TONY, DING, PEIJUN, HASHIM, IMRAN, SUN, BINGXI
Priority to US08/878,143priorityCriticalpatent/US6387805B2/en
Priority to TW87107083Aprioritypatent/TW574393B/en
Priority to TW092133884Aprioritypatent/TW200406851A/en
Priority to JP10164090Aprioritypatent/JPH1154458A/en
Priority to EP98108387Aprioritypatent/EP0877421A3/en
Priority to KR1019980016379Aprioritypatent/KR19980086841A/en
Priority to US09/079,107prioritypatent/US6066892A/en
Publication of US20010034126A1publicationCriticalpatent/US20010034126A1/en
Publication of US6387805B2publicationCriticalpatent/US6387805B2/en
Application grantedgrantedCritical
Anticipated expirationlegal-statusCritical
Expired - Lifetimelegal-statusCriticalCurrent

Links

Images

Classifications

Definitions

Landscapes

Abstract

A copper metallization structure and its method of formation in which a layer of a copper alloy, such as Cu—Mg or Cu—Al is deposited over a silicon oxide based dielectric layer and a substantially pure copper layer is deposited over the copper alloy layer. The copper alloy layer serves as a seed or wetting layer for subsequent filling of via holes and trenches with substantially pure copper. Preferably, the copper alloy is deposited cold in a sputter process, but, during the deposition of the pure copper layer or afterwards in a separate annealing step, the temperature is raised sufficiently high to cause the alloying element of the copper alloy to migrate to the dielectric layer and form a barrier there against diffusion of copper into and through the dielectric layer. This barrier also promotes adhesion of the alloy layer to the dielectric layer, thereby forming a superior wetting and seed layer for subsequent copper full-fill techniques. Filling of the alloy-lined feature can be accomplished using PVD, CVD, or electro/electroless plating.

Description

Claims (24)

What is claimed is:
1. A metallization structure, comprising:
a dielectric layer comprising silicon and oxygen;
a copper alloy layer formed over said dielectric layer comprising copper and less than10 atomic percent of an alloying element; and
a substantially pure copper layer deposited over said copper alloy layer.
2. The metallization structure of
claim 1
, further comprising an interfacial oxide layer between said copper alloy layer and said dielectric layer comprising silicon, said alloying element, and oxygen.
3. The metallization structure of
claim 1
, wherein said alloying element comprises magnesium.
4. The metallization structure of
claim 3
, wherein said magnesium is present in an amount of between 0.05 and 6 atomic %.
5. The metallization structure of
claim 1
, wherein said alloying element comprises aluminum.
6. The metallization structure of
claim 5
, wherein said aluminum is present in an amount between 0.05 and 0.3 atomic %.
7. The metallization structure of
claim 1
, wherein said alloying element is selected from the group consisting of boron, tantalum, tellurium and titanium.
8. The metallization structure of
claim 1
, wherein said dielectric layer includes an aperture extending into said dielectric layer and wherein said copper alloy layer is coated onto sides of said aperture.
9. The metallization structure of
claim 8
, wherein said aperture extends only partially through said dielectric layer, and wherein said copper alloy layer is coated onto sides of said aperture.
10. The metallization structure of
claim 9
, wherein said aperture extends completely through said dielectric layer and overlies a conductive feature in a substrate over which said dielectric layer is formed and wherein said copper layer fills said aperture.
11. The metallization structure of
claim 1
, wherein said copper alloy layer and said substantially pure copper layer extend over a planar surface of said dielectric layer.
12. A method of forming a copper metallization, comprising the steps of:
a first step of sputter depositing onto a dielectric part of a substrate comprising silicon and oxygen a copper alloy layer comprising copper and less than 10 atomic percent of an alloying element; and
a second step of depositing onto said copper alloy layer a copper layer.
13. The method of
claim 12
, wherein said copper layer consists of substantially pure copper.
14. The method of
claim 12
, wherein said first step is performed while maintaining said substrate at a temperature equal to or above 200° C.
15. The method of
claim 14
, wherein said temperature is no more than 400° C.
16. The method of
claim 12
, wherein said second step comprises plating copper.
17. The method of
claim 12
, wherein said second step comprises chemical vapor deposition of copper.
18. The method of
claim 12
, wherein said second step comprises physical vapor deposition of copper.
19. The method of
claim 18
, wherein said first step is performed while holding said substrate at a first temperature that is substantially lower than a second temperature in said second step sufficiently high to cause said alloying element to diffuse in said copper alloy layer.
20. The method of
claim 19
, wherein said first temperature is less than 200° C.
21. The method of
claim 20
, wherein said first temperature is less than 100° C.
22. The method of
claim 12
, further comprising annealing said structure after said second step to cause said alloying element to diffuse in said copper alloy layer.
23. The method of
claim 12
, wherein said first step is performed while holding said substrate at a temperature below 200° C.
24. The method of
claim 12
, wherein said alloying element comprises magnesium.25. The method of
claim 12
, wherein said alloying element comprises aluminum.26. The method of
claim 12
, wherein said dielectric part of said substrate includes an aperture therein, wherein said first step deposits said copper alloy layer on sides of said aperture, and wherein said second step deposits said copper in said aperture onto said copper alloy layer.
US08/878,1431997-05-081997-06-18Copper alloy seed layer for copper metallizationExpired - LifetimeUS6387805B2 (en)

Priority Applications (7)

Application NumberPriority DateFiling DateTitle
US08/878,143US6387805B2 (en)1997-05-081997-06-18Copper alloy seed layer for copper metallization
TW87107083ATW574393B (en)1997-05-081998-05-07Sputter deposition and annealing of copper alloy metallization and seed layer
TW092133884ATW200406851A (en)1997-05-081998-05-07Sputter deposition and annealing of copper alloy metallization and seed layer
KR1019980016379AKR19980086841A (en)1997-05-081998-05-08 Sputter deposition and annealing of copper alloy metals and seed layers
JP10164090AJPH1154458A (en)1997-05-081998-05-08 Metallization structure
EP98108387AEP0877421A3 (en)1997-05-081998-05-08Sputter deposition and annealing of copper alloy metallization M
US09/079,107US6066892A (en)1997-05-081998-05-14Copper alloy seed layer for copper metallization in an integrated circuit

Applications Claiming Priority (2)

Application NumberPriority DateFiling DateTitle
US08/853,191US6037257A (en)1997-05-081997-05-08Sputter deposition and annealing of copper alloy metallization
US08/878,143US6387805B2 (en)1997-05-081997-06-18Copper alloy seed layer for copper metallization

Related Parent Applications (1)

Application NumberTitlePriority DateFiling Date
US08/853,191Continuation-In-PartUS6037257A (en)1997-05-081997-05-08Sputter deposition and annealing of copper alloy metallization

Related Child Applications (1)

Application NumberTitlePriority DateFiling Date
US09/079,107DivisionUS6066892A (en)1997-05-081998-05-14Copper alloy seed layer for copper metallization in an integrated circuit

Publications (2)

Publication NumberPublication Date
US20010034126A1true US20010034126A1 (en)2001-10-25
US6387805B2 US6387805B2 (en)2002-05-14

Family

ID=27127124

Family Applications (2)

Application NumberTitlePriority DateFiling Date
US08/878,143Expired - LifetimeUS6387805B2 (en)1997-05-081997-06-18Copper alloy seed layer for copper metallization
US09/079,107Expired - LifetimeUS6066892A (en)1997-05-081998-05-14Copper alloy seed layer for copper metallization in an integrated circuit

Family Applications After (1)

Application NumberTitlePriority DateFiling Date
US09/079,107Expired - LifetimeUS6066892A (en)1997-05-081998-05-14Copper alloy seed layer for copper metallization in an integrated circuit

Country Status (5)

CountryLink
US (2)US6387805B2 (en)
EP (1)EP0877421A3 (en)
JP (1)JPH1154458A (en)
KR (1)KR19980086841A (en)
TW (2)TW200406851A (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US6458694B2 (en)*2000-01-242002-10-01Ebara CorporationHigh energy sputtering method for forming interconnects
US6686661B1 (en)*1999-10-152004-02-03Lg. Philips Lcd Co., Ltd.Thin film transistor having a copper alloy wire
US6900099B2 (en)2002-04-242005-05-31Nanya Technology CorporationFlash memory cell and method for fabricating the same
US20060071338A1 (en)*2004-09-302006-04-06International Business Machines CorporationHomogeneous Copper Interconnects for BEOL
US20060246725A1 (en)*2005-04-282006-11-02Andrew YeohHardening of copper to improve copper CMP performance
US20110147753A1 (en)*2008-08-142011-06-23Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)Display device, copper alloy film for use therein, and copper alloy sputtering target
CN102484138A (en)*2009-08-282012-05-30株式会社爱发科Wiring layer, semiconductor device, liquid crystal display device
US8373832B2 (en)2009-10-272013-02-12Ulvac, Inc.Wiring layer, semiconductor device, and liquid crystal display device using semiconductor device
US20210023648A1 (en)*2018-04-102021-01-28Toyo Kohan Co., LtdRoll-bonded body and method for producing roll-bonded body

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
KR100270593B1 (en)1996-06-112000-12-01포만 제프리 엘 Partially Overlapping Interconnect Structures and Manufacturing Method Thereof
WO1999040615A1 (en)*1998-02-041999-08-12Semitool, Inc.Method and apparatus for low-temperature annealing of metallization micro-structures in the production of a microelectronic device
US7244677B2 (en)1998-02-042007-07-17Semitool. Inc.Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US5939788A (en)*1998-03-111999-08-17Micron Technology, Inc.Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
US6632292B1 (en)*1998-03-132003-10-14Semitool, Inc.Selective treatment of microelectronic workpiece surfaces
US6455937B1 (en)1998-03-202002-09-24James A. CunninghamArrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6181012B1 (en)*1998-04-272001-01-30International Business Machines CorporationCopper interconnection structure incorporating a metal seed layer
US6359328B1 (en)*1998-12-312002-03-19Intel CorporationMethods for making interconnects and diffusion barriers in integrated circuits
US6383915B1 (en)*1999-02-032002-05-07Applied Materials, Inc.Tailoring of a wetting/barrier layer to reduce electromigration in an aluminum interconnect
US6177347B1 (en)*1999-07-022001-01-23Taiwan Semiconductor Manufacturing CompanyIn-situ cleaning process for Cu metallization
EP1069213A3 (en)*1999-07-122004-01-28Applied Materials, Inc.Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6551872B1 (en)1999-07-222003-04-22James A. CunninghamMethod for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US6521532B1 (en)1999-07-222003-02-18James A. CunninghamMethod for making integrated circuit including interconnects with enhanced electromigration resistance
US6441492B1 (en)1999-09-102002-08-27James A. CunninghamDiffusion barriers for copper interconnect systems
US6432819B1 (en)1999-09-272002-08-13Applied Materials, Inc.Method and apparatus of forming a sputtered doped seed layer
US6391163B1 (en)*1999-09-272002-05-21Applied Materials, Inc.Method of enhancing hardness of sputter deposited copper films
US6924226B2 (en)*1999-10-022005-08-02Uri CohenMethods for making multiple seed layers for metallic interconnects
US7105434B2 (en)*1999-10-022006-09-12Uri CohenAdvanced seed layery for metallic interconnects
US6610151B1 (en)*1999-10-022003-08-26Uri CohenSeed layers for interconnects and methods and apparatus for their fabrication
KR100361207B1 (en)*1999-12-292002-11-18주식회사 하이닉스반도체A method of forming a metal line in a semiconductor device
US6878628B2 (en)2000-05-152005-04-12Asm International NvIn situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en)*2000-05-152009-02-24Asm International N.V.Method of growing electrical conductors
JP5173101B2 (en)*2000-05-152013-03-27エイエスエム インターナショナル エヌ.ヴェー. Integrated circuit manufacturing method
US6679951B2 (en)2000-05-152004-01-20Asm Intenational N.V.Metal anneal with oxidation prevention
US6525425B1 (en)2000-06-142003-02-25Advanced Micro Devices, Inc.Copper interconnects with improved electromigration resistance and low resistivity
US6387806B1 (en)*2000-09-062002-05-14Advanced Micro Devices, Inc.Filling an interconnect opening with different types of alloys to enhance interconnect reliability
US6521537B1 (en)*2000-10-312003-02-18Speedfam-Ipec CorporationModification to fill layers for inlaying semiconductor patterns
US6509262B1 (en)*2000-11-302003-01-21Advanced Micro Devices, Inc.Method of reducing electromigration in copper lines by calcium-doping copper surfaces in a chemical solution
US6515373B2 (en)*2000-12-282003-02-04Infineon Technologies AgCu-pad/bonded/Cu-wire with self-passivating Cu-alloys
US6693343B2 (en)*2000-12-282004-02-17Infineon Technologies AgSelf-passivating Cu laser fuse
US6696358B2 (en)*2001-01-232004-02-24Honeywell International Inc.Viscous protective overlayers for planarization of integrated circuits
US6451664B1 (en)2001-01-302002-09-17Infineon Technologies AgMethod of making a MIM capacitor with self-passivating plates
US6951804B2 (en)2001-02-022005-10-04Applied Materials, Inc.Formation of a tantalum-nitride layer
US7563715B2 (en)2005-12-052009-07-21Asm International N.V.Method of producing thin films
US9139906B2 (en)*2001-03-062015-09-22Asm America, Inc.Doping with ALD technology
US7491634B2 (en)*2006-04-282009-02-17Asm International N.V.Methods for forming roughened surfaces and applications thereof
US8043484B1 (en)2001-03-132011-10-25Novellus Systems, Inc.Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en)2001-03-132004-07-20Novellus Systems, Inc.Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en)2001-03-132010-08-24Novellus Systems, Inc.Resputtering process for eliminating dielectric damage
US7186648B1 (en)2001-03-132007-03-06Novellus Systems, Inc.Barrier first method for single damascene trench applications
US6740221B2 (en)2001-03-152004-05-25Applied Materials Inc.Method of forming copper interconnects
US6607982B1 (en)*2001-03-232003-08-19Novellus Systems, Inc.High magnesium content copper magnesium alloys as diffusion barriers
US6656834B1 (en)*2001-06-202003-12-02Advanced Micro Devices, Inc.Method of selectively alloying interconnect regions by deposition process
US6506668B1 (en)*2001-06-222003-01-14Advanced Micro Devices, Inc.Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US7626665B2 (en)2004-08-312009-12-01Tohoku UniversityCopper alloys and liquid-crystal display device
US6936906B2 (en)2001-09-262005-08-30Applied Materials, Inc.Integration of barrier layer and seed layer
US7049226B2 (en)2001-09-262006-05-23Applied Materials, Inc.Integration of ALD tantalum nitride for copper metallization
US6727177B1 (en)*2001-10-182004-04-27Lsi Logic CorporationMulti-step process for forming a barrier film for use in copper layer formation
WO2003038892A2 (en)*2001-10-262003-05-08Applied Materials, Inc.Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization
US20030217462A1 (en)*2001-12-132003-11-27Fei WangMethod for improving electromigration performance of metallization features through multiple depositions of binary alloys
US7067424B2 (en)*2001-12-192006-06-27Koninklijke Philips Electronics N.V.Method of manufacturing an electronic device
US7239747B2 (en)*2002-01-242007-07-03Chatterbox Systems, Inc.Method and system for locating position in printed texts and delivering multimedia information
US6911394B2 (en)2002-02-252005-06-28Texas Instruments IncorporatedSemiconductor devices and methods of manufacturing such semiconductor devices
JP3727277B2 (en)*2002-02-262005-12-14Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6656836B1 (en)*2002-03-182003-12-02Advanced Micro Devices, Inc.Method of performing a two stage anneal in the formation of an alloy interconnect
US20030188974A1 (en)*2002-04-032003-10-09Applied Materials, Inc.Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
US6649513B1 (en)*2002-05-152003-11-18Taiwan Semiconductor Manufacturing CompanyCopper back-end-of-line by electropolish
US6720608B2 (en)*2002-05-222004-04-13United Microelectronics Corp.Metal-insulator-metal capacitor structure
US6716753B1 (en)*2002-07-292004-04-06Taiwan Semiconductor Manufacturing CompanyMethod for forming a self-passivated copper interconnect structure
US20040118699A1 (en)*2002-10-022004-06-24Applied Materials, Inc.Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects
KR100919378B1 (en)*2002-10-282009-09-25매그나칩 반도체 유한회사 Metal wiring of semiconductor device and forming method thereof
US6806192B2 (en)*2003-01-242004-10-19Taiwan Semiconductor Manufacturing Company, Ltd.Method of barrier-less integration with copper alloy
US6943111B2 (en)*2003-02-102005-09-13Taiwan Semiconductor Manufacturing Company, Ltd.Barrier free copper interconnect by multi-layer copper seed
WO2004083482A1 (en)2003-03-172004-09-30Nikko Materials Co., Ltd.Copper alloy sputtering target process for producing the same and semiconductor element wiring
US7026714B2 (en)*2003-03-182006-04-11Cunningham James ACopper interconnect systems which use conductive, metal-based cap layers
US7842605B1 (en)2003-04-112010-11-30Novellus Systems, Inc.Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en)2003-04-112012-10-30Novellus Systems, Inc.Conformal films on semiconductor substrates
US6949442B2 (en)2003-05-052005-09-27Infineon Technologies AgMethods of forming MIM capacitors
US7067407B2 (en)*2003-08-042006-06-27Asm International, N.V.Method of growing electrical conductors
IL157838A (en)*2003-09-102013-05-30Yaakov AmitaiHigh brightness optical device
US7345350B2 (en)2003-09-232008-03-18Micron Technology, Inc.Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
JP4478038B2 (en)2004-02-272010-06-09株式会社半導体理工学研究センター Semiconductor device and manufacturing method thereof
JP4764606B2 (en)*2004-03-042011-09-07ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US20050210455A1 (en)*2004-03-182005-09-22International Business Machines CorporationMethod for generating an executable workflow code from an unstructured cyclic process model
JP2006019708A (en)*2004-06-042006-01-19Toshiba Corp Semiconductor device manufacturing method and semiconductor device
US20060019493A1 (en)*2004-07-152006-01-26Li Wei MMethods of metallization for microelectronic devices utilizing metal oxide
US7940361B2 (en)2004-08-312011-05-10Advanced Interconnect Materials, LlcCopper alloy and liquid-crystal display device
KR100640962B1 (en)2004-12-302006-11-02동부일렉트로닉스 주식회사 Wiring Formation Method of Semiconductor Device
US7666773B2 (en)2005-03-152010-02-23Asm International N.V.Selective deposition of noble metal thin films
US8025922B2 (en)*2005-03-152011-09-27Asm International N.V.Enhanced deposition of noble metals
US7544398B1 (en)2005-04-262009-06-09The Regents Of The Univesity Of CaliforniaControlled nano-doping of ultra thin films
JP4589835B2 (en)*2005-07-132010-12-01富士通セミコンダクター株式会社 Semiconductor device manufacturing method and semiconductor device
US20070014919A1 (en)*2005-07-152007-01-18Jani HamalainenAtomic layer deposition of noble metal oxides
JP4523535B2 (en)*2005-08-302010-08-11富士通株式会社 Manufacturing method of semiconductor device
JP4282646B2 (en)2005-09-092009-06-24株式会社東芝 Manufacturing method of semiconductor device
JP5076482B2 (en)2006-01-202012-11-21富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
KR101379015B1 (en)2006-02-152014-03-28한국에이에스엠지니텍 주식회사METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
US7749361B2 (en)*2006-06-022010-07-06Applied Materials, Inc.Multi-component doping of copper seed layer
US7855147B1 (en)2006-06-222010-12-21Novellus Systems, Inc.Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7645696B1 (en)2006-06-222010-01-12Novellus Systems, Inc.Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
JP2008021807A (en)*2006-07-122008-01-31Kobe Steel Ltd Manufacturing method of semiconductor wiring
KR101059709B1 (en)*2006-07-142011-08-29가부시키가이샤 알박 Method for manufacturing semiconductor device
US7435484B2 (en)*2006-09-012008-10-14Asm Japan K.K.Ruthenium thin film-formed structure
US7473634B2 (en)*2006-09-282009-01-06Tokyo Electron LimitedMethod for integrated substrate processing in copper metallization
WO2008042981A2 (en)2006-10-052008-04-10Asm America, Inc.Ald of metal silicate films
US20080124484A1 (en)*2006-11-082008-05-29Asm Japan K.K.Method of forming ru film and metal wiring structure
US7510634B1 (en)2006-11-102009-03-31Novellus Systems, Inc.Apparatus and methods for deposition and/or etch selectivity
CN101529566B (en)2006-12-282011-11-16株式会社爱发科 Method for forming wiring film, transistor and electronic device
US7682966B1 (en)2007-02-012010-03-23Novellus Systems, Inc.Multistep method of depositing metal seed layers
JP2008263093A (en)2007-04-132008-10-30Tokyo Electron LtdEtching method, etching system and etching apparatus
US7922880B1 (en)2007-05-242011-04-12Novellus Systems, Inc.Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en)2007-05-242011-03-01Novellus Systems, Inc.Use of ultra-high magnetic fields in resputter and plasma etching
US20090035946A1 (en)*2007-07-312009-02-05Asm International N.V.In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7659197B1 (en)2007-09-212010-02-09Novellus Systems, Inc.Selective resputtering of metal seed layers
US20090087339A1 (en)*2007-09-282009-04-02Asm Japan K.K.METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (en)2007-10-172015-08-12한국에이에스엠지니텍 주식회사 Ruthenium film formation method
US7655564B2 (en)*2007-12-122010-02-02Asm Japan, K.K.Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (en)*2007-12-212009-06-25에이에스엠지니텍코리아 주식회사 Ruthenium film deposition method
US7651943B2 (en)*2008-02-182010-01-26Taiwan Semicondcutor Manufacturing Company, Ltd.Forming diffusion barriers by annealing copper alloy layers
US7799674B2 (en)*2008-02-192010-09-21Asm Japan K.K.Ruthenium alloy film for copper interconnects
JP4936560B2 (en)*2008-03-112012-05-23三菱マテリアル株式会社 Method for forming copper alloy composite film having excellent adhesion and Ca-containing copper alloy target used in this film forming method
JP4423379B2 (en)2008-03-252010-03-03合同会社先端配線材料研究所 Copper wiring, semiconductor device, and method of forming copper wiring
US8545936B2 (en)2008-03-282013-10-01Asm International N.V.Methods for forming carbon nanotubes
KR101433899B1 (en)*2008-04-032014-08-29삼성전자주식회사Method for forming metallic layer on portion etched of substrate, the substrate having the metallic layer formed using the same and a structure formed using the same
JP2008252103A (en)*2008-04-212008-10-16Nec Electronics CorpSemiconductor device and method for manufacturing semiconductor device
US8383525B2 (en)*2008-04-252013-02-26Asm America, Inc.Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
WO2009131035A1 (en)2008-04-252009-10-29株式会社アルバックThin-film transistor manufacturing method, and thin-film transistor
US8017523B1 (en)2008-05-162011-09-13Novellus Systems, Inc.Deposition of doped copper seed layers having improved reliability
JP5420328B2 (en)2008-08-012014-02-19三菱マテリアル株式会社 Sputtering target for forming wiring films for flat panel displays
US8084104B2 (en)*2008-08-292011-12-27Asm Japan K.K.Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en)2008-10-142012-03-13Asm Japan K.K.Method for forming metal film by ALD using beta-diketone metal complex
JP4441658B1 (en)2008-12-192010-03-31国立大学法人東北大学 Copper wiring forming method, copper wiring, and semiconductor device
US7927942B2 (en)*2008-12-192011-04-19Asm International N.V.Selective silicide process
US9379011B2 (en)2008-12-192016-06-28Asm International N.V.Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8349724B2 (en)*2008-12-312013-01-08Applied Materials, Inc.Method for improving electromigration lifetime of copper interconnection by extended post anneal
US20110020546A1 (en)*2009-05-152011-01-27Asm International N.V.Low Temperature ALD of Noble Metals
JP5548396B2 (en)*2009-06-122014-07-16三菱マテリアル株式会社 Wiring layer structure for thin film transistor and manufacturing method thereof
US8329569B2 (en)*2009-07-312012-12-11Asm America, Inc.Deposition of ruthenium or ruthenium dioxide
CN102484137B (en)2009-08-262015-06-17株式会社爱发科Semiconductor device, liquid crystal display device equipped with semiconductor device, and process for production of semiconductor device
US8871617B2 (en)2011-04-222014-10-28Asm Ip Holding B.V.Deposition and reduction of mixed metal oxide thin films
US8492274B2 (en)2011-11-072013-07-23International Business Machines CorporationMetal alloy cap integration
US8497202B1 (en)2012-02-212013-07-30International Business Machines CorporationInterconnect structures and methods of manufacturing of interconnect structures
US8736055B2 (en)2012-03-012014-05-27Lam Research CorporationMethods and layers for metallization
US8691688B2 (en)2012-06-182014-04-08United Microelectronics Corp.Method of manufacturing semiconductor structure
US20150206798A1 (en)*2014-01-172015-07-23Taiwan Semiconductor Manufacturing Company, Ltd.Interconnect Structure And Method of Forming
JP2015195282A (en)*2014-03-312015-11-05東京エレクトロン株式会社Deposition method, semiconductor manufacturing method and semiconductor device
CN105088151A (en)*2014-04-152015-11-25北京北方微电子基地设备工艺研究中心有限责任公司Pore deposition process on substrate, and semiconductor processing equipment
US9607842B1 (en)2015-10-022017-03-28Asm Ip Holding B.V.Methods of forming metal silicides
US10163695B1 (en)*2017-06-272018-12-25Lam Research CorporationSelf-forming barrier process
US11195748B2 (en)2017-09-272021-12-07Invensas CorporationInterconnect structures and methods for forming same
CN112292473A (en)*2018-06-012021-01-29株式会社岛津制作所 Conductive film forming method and wiring board manufacturing method
US12359315B2 (en)2019-02-142025-07-15Asm Ip Holding B.V.Deposition of oxides and nitrides
US11610839B2 (en)2019-10-292023-03-21Globalfoundries U.S. Inc.Dummy fill structures
TW202200828A (en)2020-06-242022-01-01荷蘭商Asm Ip私人控股有限公司Vapor deposition of films comprising molybdenum

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US5130274A (en)1991-04-051992-07-14International Business Machines CorporationCopper alloy metallurgies for VLSI interconnection structures
US5288665A (en)*1992-08-121994-02-22Applied Materials, Inc.Process for forming low resistance aluminum plug in via electrically connected to overlying patterned metal layer for integrated circuit structures
EP0725439B1 (en)1992-08-272006-01-18Kabushiki Kaisha ToshibaElectronic parts with metal wiring and manufacturing method thereof
US5391517A (en)*1993-09-131995-02-21Motorola Inc.Process for forming copper interconnect structure
US5747360A (en)1993-09-171998-05-05Applied Materials, Inc.Method of metalizing a semiconductor wafer
US5654232A (en)*1994-08-241997-08-05Intel CorporationWetting layer sidewalls to promote copper reflow into grooves
US5892282A (en)*1995-05-311999-04-06Texas Instruments IncorporatedBarrier-less plug structure
US5770519A (en)*1995-06-051998-06-23Advanced Micro Devices, Inc.Copper reservoir for reducing electromigration effects associated with a conductive via in a semiconductor device
US5744376A (en)*1996-04-081998-04-28Chartered Semiconductor Manufacturing Pte, LtdMethod of manufacturing copper interconnect with top barrier layer
JP3516558B2 (en)*1996-08-262004-04-05シャープ株式会社 Method for manufacturing semiconductor device
US5770517A (en)*1997-03-211998-06-23Advanced Micro Devices, Inc.Semiconductor fabrication employing copper plug formation within a contact area
US5969422A (en)*1997-05-151999-10-19Advanced Micro Devices, Inc.Plated copper interconnect structure

Cited By (15)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US6686661B1 (en)*1999-10-152004-02-03Lg. Philips Lcd Co., Ltd.Thin film transistor having a copper alloy wire
US20040142516A1 (en)*1999-10-152004-07-22Lg. Philips Lcd Co., Ltd.Thin film transistor having copper alloy wire and method of manufacturing the same
US7052993B2 (en)1999-10-152006-05-30Lg.Philips Lcd Co., Ltd.Thin film transistor having copper alloy wire and method of manufacturing the same
US6458694B2 (en)*2000-01-242002-10-01Ebara CorporationHigh energy sputtering method for forming interconnects
US6900099B2 (en)2002-04-242005-05-31Nanya Technology CorporationFlash memory cell and method for fabricating the same
US20080156636A1 (en)*2004-09-302008-07-03International Business Machines CorporationHomogeneous Copper Interconnects for BEOL
US20060071338A1 (en)*2004-09-302006-04-06International Business Machines CorporationHomogeneous Copper Interconnects for BEOL
US20060246725A1 (en)*2005-04-282006-11-02Andrew YeohHardening of copper to improve copper CMP performance
US7285496B2 (en)*2005-04-282007-10-23Intel CorporationHardening of copper to improve copper CMP performance
US20110147753A1 (en)*2008-08-142011-06-23Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)Display device, copper alloy film for use therein, and copper alloy sputtering target
CN102484138A (en)*2009-08-282012-05-30株式会社爱发科Wiring layer, semiconductor device, liquid crystal display device
US8400594B2 (en)2009-08-282013-03-19Ulvac, Inc.Wiring layer, semiconductor device and liquid crystal display device
US8373832B2 (en)2009-10-272013-02-12Ulvac, Inc.Wiring layer, semiconductor device, and liquid crystal display device using semiconductor device
US20210023648A1 (en)*2018-04-102021-01-28Toyo Kohan Co., LtdRoll-bonded body and method for producing roll-bonded body
US11878363B2 (en)*2018-04-102024-01-23Toyo Kohan Co., Ltd.Roll-bonded body and method for producing roll-bonded body

Also Published As

Publication numberPublication date
JPH1154458A (en)1999-02-26
EP0877421A2 (en)1998-11-11
TW200406851A (en)2004-05-01
KR19980086841A (en)1998-12-05
US6387805B2 (en)2002-05-14
TW574393B (en)2004-02-01
EP0877421A3 (en)1998-12-30
US6066892A (en)2000-05-23

Similar Documents

PublicationPublication DateTitle
US6387805B2 (en)Copper alloy seed layer for copper metallization
US6160315A (en)Copper alloy via structure
JP3121589B2 (en) Interconnect structure and method of forming the same
JP3053718B2 (en) Method of forming a low resistance aluminum plug in a via electrically connected to an over-patterned metal layer for an integrated circuit structure
US6207222B1 (en)Dual damascene metallization
US6570255B2 (en)Method for forming interconnects on semiconductor substrates and structures formed
JP3955386B2 (en) Semiconductor device and manufacturing method thereof
US6566258B1 (en)Bi-layer etch stop for inter-level via
US20070059502A1 (en)Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US20060251872A1 (en)Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
EP1466359A2 (en)Use of conductive electrolessly deposided etch stop layers, liner layers and via plugs in interconnect structures
EP1069612A2 (en)Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
JPH0653163A (en)Integrated-circuit barrier structure and its manufacture
KR100501460B1 (en)Method of filling holes in a semiconductor structure using an adhesion layer deposited from ionized metal
US20070243708A1 (en)Manufacturing method for an integrated semiconductor contact structure having an improved aluminum fill
KR100365061B1 (en) Semiconductor device and semiconductor device manufacturing method
CN1360346B (en)Electronic structure and forming method thereof
US6268284B1 (en)In situ titanium aluminide deposit in high aspect ratio features
US20050029670A1 (en)Contact/via force fill techniques and resulting structures
US7749361B2 (en)Multi-component doping of copper seed layer
JP2003142424A (en) A barrier layer for a semiconductor wafer interconnect structure and a method for depositing the barrier layer.
JP3488586B2 (en) Method for manufacturing semiconductor device
TW396523B (en)Method for producing seed layer
JPH11345879A (en)Formation of metal wiring in semiconductor element
JPH05343537A (en)Contact plug and its formation method

Legal Events

DateCodeTitleDescription
ASAssignment

Owner name:APPLIED MATERIALS, INC, CALIFORNIA

Free format text:ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DING, PEIJUN;CHIANG, TONY;HASHIM, IMRAN;AND OTHERS;REEL/FRAME:008640/0330;SIGNING DATES FROM 19970528 TO 19970530

STCFInformation on status: patent grant

Free format text:PATENTED CASE

FPAYFee payment

Year of fee payment:4

FPAYFee payment

Year of fee payment:8

FPAYFee payment

Year of fee payment:12


[8]ページ先頭

©2009-2025 Movatter.jp