Movatterモバイル変換


[0]ホーム

URL:


TW200411960A - Variable heater element for low to high temperature ranges - Google Patents

Variable heater element for low to high temperature ranges
Download PDF

Info

Publication number
TW200411960A
TW200411960ATW92119296ATW92119296ATW200411960ATW 200411960 ATW200411960 ATW 200411960ATW 92119296 ATW92119296 ATW 92119296ATW 92119296 ATW92119296 ATW 92119296ATW 200411960 ATW200411960 ATW 200411960A
Authority
TW
Taiwan
Prior art keywords
heater
coil
heat
heating
heating element
Prior art date
Application number
TW92119296A
Other languages
Chinese (zh)
Inventor
Taiquing Thomas Qiu
Original Assignee
Asml Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Us IncfiledCriticalAsml Us Inc
Publication of TW200411960ApublicationCriticalpatent/TW200411960A/en

Links

Classifications

Landscapes

Abstract

A method and apparatus for insulating and controlling temperature in a semiconductor manufacturing environment. The invention comprises at least one modular heater element designed to be mounted about a semiconductor furnace's process chamber in order to minimize thermal transfer between the furnace interior and exterior. A base ring or cylinder (also referred to as a "heater ring") is sized to be fitted around an inner skin of a semiconductor mini-batch furnace. The base ring has multiple channels equidistantly spaced about its inner perimeter. Heating coils of a type well known in the art may nest in these channels in order to warm the furnace interior. The coils may be either removably or permanently affixed within the channels.

Description

Translated fromChinese

200411960 (1) 玖、發明說明 【前後參照相關申請案】 本申請案主張來自一般分派之2002年7月15日提出之 美國臨時專利申請案序號第6 〇 / 3 9 6,5 3 6號、標題爲“熱處 理系統”,及2002年11月22日提出之第60/42 8,5 2 6號、標 題爲“熱處理系統及其使用方法”之利益及優先權,且該二 者全部以引用的方式倂入本文中。 [發明所屬之技術領域】 本發明大致上有關一種用於隔熱及加熱一具有袖珍型 批次處理(minibatch)火爐之半導體製造環境之方法及裝置 ’及更特別有關一種可選擇性地隔熱適用於寬廣溫度範圍 之加熱器元件。 【先前技術】 火爐一般係用於各種工業應用,包含製造積體電路或 鲁 來自半導體基板或晶圓之半導體裝置。半導體晶圓之熱處 理包含例如熱處理、退火、摻雜材料之擴散作用或推進、 各材料層之沈積或增長、及由該基板蝕刻或移除材料。這 些製程通常要求將該晶圓於該製程之前及於該製程期間加 熱至一高達攝氏250至1200度之溫度。再者,這些製程典 型需要遍及該製程將該晶圓維持在一均勻之溫度,儘管該 製程氣體之溫度或其導入該製程室之速率會波動。 一習知火爐典型包括一定位於火爐中或由一火爐所圍 -4- 200411960 (2) 繞之龐大製程室。該火爐典型具有多數互連加熱線圈。欲 熱處理之基板係密封在該製程室中,然後由該火爐加熱至 一在施行該處理所想要之溫度。對於很多製程,諸如化學 蒸氣沈積,該密封製程室係首先排空,在此之後該反應或 製程氣體係導入以在該基板上形成或沉澱反應物類。 爲滿足熱處理裝置之熱需求而有一些設計挑戰。比方 ,該製程室溫通常必須迅速地變化,諸如當開始或終止熱 處理時。再者,火爐停止生產的時間應該要減至最小,以 便最大化可在任何給定時日處理之半導體晶圓之數目。以 類似心情,在高操作溫度之耗電需求爲了成本效率需要減 至最小,同時必須增強在低溫下之輕易溫度控制以避免操 作員過度干涉處理。 雖然具有多數互連加熱線圈之半導體火爐提供一用於 加熱及冷卻該火爐之簡單方法,假如單一線圈故障,該全 部之加熱列陣必須更換。再者,此結構能僅只藉著同時地 對每一加熱線圈增加動力回應於該製程室中之溫度梯度, 如此造成該室之某些部份過熱,以便於該室之一不同部份 消除該溫度波動。該可不利地影響該晶圓。這是特別與最 近、大晶圓尺寸及更複雜之積體電路有關,在此單一晶圓 係非常地昂貴。 據此,在此需要一種克服前述問題之裝置及方法。 【發明內容】 大致上,本發明揭露一種用於半導體製造環境中隔熱 (3) (3)200411960 及控制溫度之裝置及方法。更特別地是,本發明包含至少 一模組式加熱元件,該加熱元件包括一座圈及附著之隔熱 砌塊。該加熱元件係設計成安裝環繞著一半導體火爐,以 便使該火爐內部及外部間之熱傳減至最小。 於目前之具體實施例中,該座圈或圓柱體(亦稱做一“ 加熱環”)之尺寸係設計成裝配環繞著一半導體袖珍型批次 處理火爐之一內部殻板。該座圈具有繞著其內部周邊等距 離隔開之多數通道,每一通道包含一加熱線圈。該線圈可 移去地或永久地固定在該通道內。 持續本具體實施例之敘述,三加熱器環係放置成彼此 重疊,以便完全地隔熱該火爐之製程室。另一具體實施例 可使用不同數目之加熱器環,諸如二個、五個等,以圍繞 著該製程室。藉著使用多數環及把該火爐分開成獨立之加 熱區,每一加熱區對應於一環,可藉著選擇性地對一或多 個環內之線圈調整該電源輕易地監視及控制各區間之溫度 梯度。再者,萬——加熱線圈故障,僅只包含該線圈之加 熱器元件需要移去及更換。這可於該火爐之操作期間完成 ’而不須移去任一其它加熱器元件。 本發明之一具體實施例具有許多位於該外部上之隔熱 砌塊。當以剖面圖觀看時,此一加熱器環可能類似一齒輪 ,具有對應於齒輪齒部之隔熱砌塊。每一隔熱砌塊之數目 、厚度、及寬度可依所需確實之加熱/隔熱特性而定有不 同變化。 本具體實施例可“急忙地”作調整,以便改變每一環之 - 6- (4) (4)200411960 加熱及隔熱特性。可沿著每一環之高度插入隔熱材料之客氧 外間隔裝置,並進入附著隔熱砌塊間之空間。這些間_裝 置增加該加熱器環之隔熱效果。 於本發明之又另一具體實施例中,可藉著沿著旨亥胃% 面放置一輔助連鎖圓柱體改變一加熱器環之熱特性。#言亥 輔助氣缸係放置環繞著該加熱器環時,許多內部隔熱砌% (“內部隔熱體”)係以該內部隔熱體沿著該座圈外面裝人_ 隔熱砌塊間之空間之方式固定至該圓柱體之內徑。補助之 圓柱體可具有以各種格式配置之隔熱砌塊。 【實施方式】 槪要 大致上,在此所述之方法及裝置係用於隔熱及控制一 半導體製造環境中之溫度。更特別地是,在此所述模組式 加熱器元件係設計成安裝環繞半導體火爐之製程室,以便 使該火爐內部及外部間之熱傳減至最小。 於目前之具體實施例中,該座圈或圓柱體(亦稱做一“ 加熱環”)之尺寸係設計成裝配環繞著一半導體袖珍型批次 處理火爐之一內部殻板。該座圈具有繞著其內部周邊等距 離隔開之多數通道。任何合適型式之加熱線圈、包含該技 藝中早已熟知之型式可重疊放置於這些通道中,以便加溫 該火爐內部。該線圈可移去地或永久地固定在該通道內。 持續本具體實施例之敘述,多數加熱器環典型係放置 成彼此重疊,以便完全地隔熱及圍繞該火爐。譬如於圖1 -Ί - (5) (5)200411960 之具體實施例中,三個加熱器環1 〇〇係彼此堆疊在一起, 以完全地圍繞著該火爐內部之側壁。藉著使用多數環及把 該火爐分開成獨立之加熱區,每一加熱區對應於一或多個 環,可藉著選擇性地對一或多個環內之線圈調整該電源而 輕易地監視及控制各區間之溫度梯度。再者,萬——加熱 線圈故障,僅只包含該線圈之座圈需要移去及更換。這可 使圖1具體實施例中之維修成本減至最少。在2/3範圍內 之加熱線圈及隔熱材料不會由於單一線圈故障而拋棄。 不同具體實施例包含具有不同設計之加熱器環,以便 能夠使該火爐在寬廣之溫度範圍下操作。大致言之,半導 體製造發生在溫度由攝氏200度分佈至1 25 0度之範圍中。 因爲該火爐可操作遍及不同之溫度範圍,依所進行之製程 及欲製造之半導體數目而定,在不同溫度可能需要不同隔 熱材料之數量。 較少之隔熱材料典型產生較佳之加熱穩定性及易於控 制。譬如,當該火爐係過熱時、譬如當一目標之火爐溫度 係已超過時,一使用少量隔熱材料之加熱器環使降低溫度 所發之時間減至最少,因爲熱可更輕易地流經該火爐壁面 。同理,當該穩態耗電量大約超過總電力之百分之三時, 因爲固態電源控制器、諸如那些用於很多習知火爐者更精 確地控制電力,最大化控制之方便性。持續此範例,更多 隔熱材料減少維持一給定溫度所需之電力,因爲所經過之 熱損失。據此,在低溫時較少之隔熱材料係較佳,在此熱 傳係一最小之問題,而在高溫度時較多隔熱材料係較佳, -8- (6) (6)200411960 在此熱傳增加耗電量。 一具體實施例具有許多位於該外部之隔熱砌塊。當在 剖面觀看時,此一加熱器環類似一齒輪,具有對應於齒輪 齒部之隔熱砌塊。每一隔熱砌塊之數目、厚度、及寬度可 依所需確實之加熱/隔熱特性而定有不同變化。譬如’一 低溫加熱器元件具有極狹窄之隔熱砌塊(如想要時可呈縱 向地連續或不連續),而在每一砌塊之間有一大間隙,而 一高溫加熱元件具有增加厚度或寬度之砌塊、或一較大數 目之砌塊、或其一組合。對於極高溫度,可用一實心之隔 熱材料圓柱體更換該隔熱砌塊。既然該加熱器環係模組式 ,當該半導體火爐由低溫至高溫改變其操作模式時,可輕 易地改變一或多個環。 再者’此具體實施例可“急忙地”作調整,以便改變每 一環之加熱及隔熱特性。可沿著每一環之高度插入隔熱材 料之額外間隔裝置,並進入附著隔熱砌塊間之空間。這些 間隔裝置增加該加熱器環之隔熱效果,如此於想要時允許 本發明之使用者調整該熱特性。如此,在此需要更多隔熱 材料以使在高溫之電源利用率減至最小,額外之隔熱材料 間隔裝置可加入,以取代交換環。 於本發明之又另一具體實施例中,藉著沿著該環外面 放置一輔助連鎖圓柱體可改變一加熱器環之熱特性。該補 助之隔熱圓柱體具有一稍微超過該加熱器環之直徑。另外 ’當該輔助圓柱體係放置環繞該加熱器環時,許多內部隔 熱棚塊(“內部隔熱體”)係以一方式固定至該圓柱體之內徑 (7) (7)200411960 ,使得在其上面之內部隔熱材料沿著該座圈外面裝入該隔 熱砌塊間之空間。輔助圓柱體可具有以各種格式配置之內 部隔熱材料。譬如,當與一低溫加熱器環咬合時,一輔助 圓柱體可安排有一系列隔熱砌塊,使得該輔助圓柱體隔熱 砌塊接觸該加熱器環隔熱砌塊。此架構將消除隔熱砌塊間 之任何間隙,如此仿效一很高溫之隔熱架構。可安裝另一 輔助圓柱體以於隔熱砌塊之間留下一相對小之空間,而用 於一中等溫度環境。 操作環境 圖1顯示用於一半導體袖珍型批次處理火爐之示範操 作環境。該火爐1 4 0大致上包含一具有支座1 0 4之製程室 102,該支座104被設計成適於承接一具有固定在其中之~ 批晶圓1 0 8之載具或船型容器1 〇 6,且熱源1 4 0具有許多加 熱元件1 0 0用於將該晶圓1 0 8之溫度升高至用於熱處理想要 之溫度。該火爐14〇尙包含一或多個光學或電溫度感測元 件1 Μ,諸如一阻抗溫度裝置(RTD)或熱電耦,用於監視該 製程室102內之溫度及/或控制該加熱元件1〇〇之操作。 於所示具體實施例中,該溫度感測元件係一仿形熱電 耦1 1 4 ’其具有多數用於在該製程室1 02內之多數位置偵測 該溫度之獨立溫度感測節點或點。另一選擇爲該溫度感測 元件可爲一系列由該加熱元件1 0 0延伸出及彼此不相關之 溫度控制熱電耦(未示出)。該火爐140亦可包含一或多個 用於將流體、氣體、或蒸氣導入該製程室1 02之注射器1 1 6 (8) (8)200411960 ,用於處理及/或冷卻該晶圓1 08,及一或多個用於將淨 化元素導入該製程室之通孔或淨化通口 1 1 8(在此只顯示其 中之一)。一襯套120可用來增加接近該晶圓108之處理氣 體或蒸氣之濃度,及減少來自可形成在製程室包體1 0 1內 部表面上之沉澱物之剝落或剝除所致晶圓之污染。 大致上,該製程室102係藉著諸如〇形環122之密封件 密封至一平臺或基板1 24,以於熱處理期間完全地包圍該 晶圓1 0 8。用於該注射器1 1 6、熱電耦1 1 4、及淨化通口 11 8 之開口係密封使用諸如〇形環、VCR®、或CF®配件之密 封件。於處理期間所釋放或導入之氣體或蒸氣係排空經過 一形成於該製程室102之壁面中之排氣通口 126或經由該基 板124之一充氣增壓間127,如圖1所示。該製程室102能 於熱處理期間維持在大氣壓力或經由一泵吸系統(未示出) 排空至附近之真空,該泵吸系統包含一或多個未精製之幫 浦、鼓風機、局真空(H i - v a c u u m)幫浦、及未精製之節流 閥及/或前端閥。 該處理室包體101及襯套12 0可由任何金屬.、陶瓷、水 晶或玻璃材料製成’其能夠耐得住該高溫及高真空操作之 熱及機械應力’且耐得住於處理期間來自所使用或釋放氣 體及蒸氣之腐蝕。該製程室包體1〇1最好係由具有一充分 厚度之不透明、半透明或透明石英玻璃所製成,以耐得住 該機械應力及耐製程副產品之沈積,藉此減少該處理環境 之潛在污染。該製程室包體1〇1及襯套12〇係選擇性地由一 不透明之石英製成’其減少或消除熱離開處理該晶圓丨〇 8 -11 - 200411960 Ο) 之區域或處理區128傳導至該密封件122。 於圖1之具體實施例中,說明性地使用六個加熱元件 。第一加熱元件152係鄰接該製程室102之頂部,而第二元 件154沿著該室底部延伸。第三個加熱元件156圍繞著該室 底部。該第四、第五、及第六加熱元件1 00係功能上及操 作上完全相同,且圍繞著該製程室1 02側面之其餘部份。 這三個加熱元件1 00將該製程室分成三個溫度區,每一區 可彼此獨立地控制。大致上,操作該加熱元件以將該製程 室102中之操作溫度維持於大約攝氏250及1 25 0度之間。正 確之操作溫度變化依裝載在該室內之晶圓、所製成晶圓之 型式、該製程條件等而定。據此,每一加熱器元件100係 能夠充分地支援潛在操作溫度之整個範圍。 加熱器元件 1 ·低溫具體實施例 圖2顯示該加熱器元件1〇〇或該加熱元件156之一具體 實施例240。圖2所示加熱器元件240係架構用於低溫環境 中。該加熱器元件24〇包含一座圈200及多數、隔開之隔熱 材料砌塊2 1 0。繞著該座圈200內部等距隔開者係一系列線 圈壁凹220。 該座圈200典型係由一具有隔熱性質之真空成形纖維 製成。譬如,該座圈可由一低密度礬土矽石纖維隔熱材料 製成。使用低密度礬土矽石纖維隔熱材料之物件之製造大 致上係熟諳此技藝者所已知。該隔熱材料砌塊2 1 0可製造 (10) (10)200411960 成爲該座圈20 0之一整體部份,或可稍後固定至該座圈。 熟諳此技藝者所已知之任何附著機構可具有繫緊該隔熱材 料砌塊至該座圈之作用。 大致言之,該座圈200之尺寸係設計成可裝配繞著該 製程室102之外部。該座圈200內部可由該製程室102之外 部壁面隔開一小段距離(如圖1所示),或可定位齊平抵住 該室。藉著於該座圈200及製程室102之間留下少量空間所 介入之空氣可分佈藉著該加熱線圏所產生之熱量,如此輔 助越過該晶圓產生均勻之溫度分佈,及亦可輔助冷卻。藉 著安裝該座圈200齊平抵住該製程室102之殼板,減少至該 製程室內部之熱傳,如此使電源需求減至最小。據此,不 同情況可要求不同尺寸之加熱器元件100。然而,在本具 體實施例中,該加熱器環100具有大約20.5吋之內徑、大 約2 6 · 5吋之外徑、及大約三至九吋之高度。典型,多數加 熱器環100係與單一火爐一起使用。在本具體實施例中, 一頂部隔熱材料環(大約三吋高)、三個主要加熱器環(每 一個大約九吋高)、及一基底加熱器環(大約三吋高)係皆 放置繞著單一火爐,以形成一“加熱器堆疊,,。該加熱器堆 覺大致上係大約三十六吋高。 在本具體實施例中,多數隔熱材料砌塊210係繞著該 座圈2 0 0平均地隔開。該隔熱材料砌塊2 1 0典型係由與該座 圈200相同之材料製成,但假如想要時可由不同型式之隔 熱材料所形成。再者,雖然本具體實施例由相同之材料形 成每一隔熱材料砌塊2 1 0,假如必要時各種砌塊可由不同 (11) (11)200411960 隔熱材料製成。在本具體實施例中,該隔熱材料砌塊2 1 0 寬度大約係在外緣及接觸該座圈200之邊緣相等,雖然另 一具體實施例可增減越過該砌塊2 1 0之長度之隔熱材料寬 度。 因爲圖2所示加熱器元件240係設計用於低溫操作, 該隔熱材料砌塊210蓋住該座圈200之總表面積之一相對小 百分比。在低溫操作下,熱損失係相對爲最小。這依序指 示該電源需求係亦減至最小。據此,藉著使用更少之隔熱 材料,加熱器元件100之本具體實施例產生較佳之加熱穩 定性及更容易之溫度控制。 一對加熱器接線柱23 0延伸經過該隔熱材料砌塊2 1 〇之 -- c 圖3顯示由該加熱器接線柱23 0及線圈3 00所形成之電 路槪要圖。該加熱器接線柱23 0係電連接至延伸遍及該線 圈壁凹220之加熱器線圈300及供電至該處。各系列加熱器 線圈3 0 0及加熱器接線柱2 3 0 —起形成一電迴路,使得電力 係藉著一電源(未示出)供給至該線圈。請注意藉著該接線 柱23 0連接至該加熱器線圈3 00之電源可與該接線柱直接或 遠端實質或電連接。亦即該電源可關閉圖3所示之電迴路 ,或於該接線柱23 0及該電源之間可有多數介入元件。在 此可考慮熟諳此技藝者所已知允許電力流經該接線柱及流 至該線圈之任何方法或架構。當然’該加熱器線圈3 00經 由熟諳此技藝者所早已知之一高線圈阻抗將電能轉換成熱 。如此,藉著變化經由該加熱器接線柱23 0供給至該加熱 (12) (12)200411960 器線圈3 00之電力,即可輕易地控制由該加熱器元件1〇0所 產生之熱。用於該加熱線圈3 0 0之合適材料包含鎳-鉻電阻 合金及鐵-鉻-鋁電阻合金。 圖4顯示圖2所示加熱器元件1 00之一俯視視角視圖 。該座圈、突出隔熱材料砌塊210、加熱器線圈壁凹220、 及加熱器接線柱23 0全部可看見。大致上,延伸經過該加 熱器線圈壁凹之虛線及垂直於該虛線之個別直線之組合標 示每一加熱線圈壁凹之中心。 2 .中等溫度具體實施例 圖5顯示適用於一中等溫度環境之第二具體實施例 540。圖5所示加熱器元件520亦具有一座圈500、一系列 坐落繞著該環外部之隔熱材料砌塊5 1 0、坐落沿著該座圈 外部之線圈壁凹520、一對加熱器接線柱5 3 0。大致上,該 中等溫度加熱器元件540之架構係類似於圖2所示者。這 些具體實施例間之差異係列舉如下。 首先,本具體實施例中所含隔熱砌塊5 1 0之數目大體 而言係增加超過圖2之低溫具體實施例中之數目。大致上 ,在本具體實施例中,一隔熱砌塊5 1 0係位在每一線圈壁 凹520之後方。大約百分之50之座圈5 00係以隔熱砌塊51〇 覆蓋。藉著使一隔熱砌塊坐落在每一線圈300之後方,在 表面溫度最熱、及如此最迅速地發生熱損失之位置沿著該 環5 0 0之外部表面提供隔熱材料。然而,依所包含材料及 該環之物理量測所決定之座圈5 00熱性質而定,由該線圈 (13) (13)200411960 3 00所輻射出之熱相當一致地分佈沿著該環外部。於此一 案例中,如想要時,該隔熱砌塊210可沿著該環200外部定 位在任何位置。 既然該座圈5 00之一成比例較大之百分比係由隔熱砌 塊所蓋住,圖5所示加熱器元件540比圖2所示具體實施 例保留更多熱。據此,耗電量於本具體實施例中係相對地 較小,雖然可能犧牲加熱穩定性。 圖6顯示圖5所示中等溫度加熱器元件1〇〇之一俯視 視角視圖。大致上,延伸經過該加熱器線圈壁凹之虛線及 垂直於該虛線之個別直線之組合標示每一加熱線圈壁凹之 中心。 3 .高溫具體實施例 圖7所示加熱器元件具體實施例740係意欲用於高溫 操作,及包含一座圈7 0 0及加熱器接線柱7 3 0,如先前所討 論者。然而,在此該加熱器元件740缺乏個別之隔熱砌塊 。取代之,一隔熱圓柱體710完全地圍繞著該座圈700。該 圓柱體7 1 0提供最大之隔熱作用及保溫,這是在高操作溫 度所想要的,以便使該火爐之電源損耗及外在加熱減至最 小。大致上,圖7所示具體實施例以類似於先前所討論之 方式操作。 請注意雖然圖2 - 6所示具體實施例具有均勻隔開之 隔熱砌塊,在此未要求該砌塊均勻地分佈繞著該座圈之周 邊。該砌塊如必要時於該加熱器元件之建構期間可繞著位 -16- (14) (14)200411960 移,而在大部份情況下不會不利地影響該元件之操作特性 。再者,雖然前述具體實施例具有多數彼此電連接及固定 在各種線圈壁凹220內之線圈,該線圈於另一具體實施例 中可爲分開之電元件及/或可移動。 4 ·操作-多區控制中之具體實施例 圖8顯示一袖珍型式批次處理火爐8 4 0之一橫截面視 圖,其具有已安裝及操作之加熱元件100之一特定具體實 施例。大致言之,該火爐140係分成三個分開之溫度區(在 圖8上標以“TZ1”、“TZ2”及“TZ3”),每一區對應於一獨特 之加熱器元件800,810,820。該加熱器元件800,810,820可 個別地放置、移除、及控制。藉著允許分開地安裝或更換 每一加熱器元件,假如有任何單一線圈故障只需換出1 /3 加熱線圈3 00。雖然本具體實施例不允許當該火爐840正操 作中時更換加熱器元件800,8 1 0及820,另一具體實施例可 允許此“熱交換”。 再者,因爲可獨立地控制每一加熱器元件8〇0,8 10及 8 20,單一區丁21彳22,丁23之溫度可如所需地升高或降低 以補償來自該製程室102之熱損失及確保越過該晶圓之均 勻熱分佈。 例如,該室102內側所想要之製程溫度可爲攝氏75 0度 。如先前所論及,在該製程室1 02內側之多數位置之溫度 可用一列陣之溫度控制熱電耦8 3 0,840,8 5 0,8 60,8 70、一多 溫測點之多組式熱電耦(profile thermocouple)8 80、或另 (15) (15)200411960 一溫度感測元件所測量。再者,一溫度控制熱電耦可延伸 經過該加熱元件(譬如,溫度控制熱電耦830)或可佔有鄰 接加熱元件間之一空間(譬如,溫度控制熱電耦890)。當 該室102內之平均溫度可爲攝氏7 5 0度時,單一熱電耦850 可只感測區TZ3之一點溫度爲攝氏7 3 0度。並非增加對每 一加熱元件800,8 1 0,820之電力以便升高區TZ3中之溫度, 當與下方加熱區有關之額外電力係送至該加熱元件820時 ,二加熱元件800,8 1 0可保持穩定。如此,該區TZ3中之 溫度將上昇,而其他區TZ1,TZ2中之溫度將保持相對不變 (忽視熱遷移效應)。如此,不只單一區中之溫度可由於較 直接之加熱而更迅速地升高,橫越整個系統亦可節約電力 一殼板環境 圖9剖面圖中所示具體實施例顯示各種與該火爐1 4〇 外部殼板9 5 0之內側齊平之加熱器元件9 0 0 5 9 1 0,92 0 5 9 3 0 。該加熱器元件係以對角影線所表示。請了解該對角影線 大致上代表該加熱器元件;該加熱器元件之個別零組件並 未顯示。於此操作環境中,只有該隔熱砌塊21 0(或5 10或 710 ’依該具體實施例而定)真正地接觸該外部殻板95 0。 該座圈20 0未接觸該外部殼板。(圖9之剖面係取自經過一 系列隔熱砌塊2 1 0。) 因爲該隔熱砌塊210係該加熱器元件900,910,920接觸 該外部殻板95 0之唯一部份,該殼板保持相當冷卻而可接 -18- (16) (16)200411960 觸。藉著該隔熱砌塊210之屏蔽性質及該座圈200與該外部 殻板95 0間之空氣空間防止由該加熱器線圈3 00所產生之很 大部份熱量抵達該殻板95 0。如此,一操作員可於操作期 間安全地接觸該火爐,而不會有被燙傷之風險。 該火爐140之外部殼板950亦可設有一或多個入口通口 960及出口通口 970。空氣在該火爐140之底部或外部殼板 950流入該入口通口 960、向上經過安裝至每一加熱器元件 900,9 1 0,920及93 0之座圈200之隔熱砌塊210間之空間、及 流出該出口通口 970。由鄰接之隔熱砌塊、該座圈、及外 部殼板所界定之空間可有效地用作一煙囪。因爲熱上昇, 冷空氣係抽吸經過該入口通口 960及在加熱之後經過該出 口通口 970排出。該空氣之運動具有一對流冷卻劑之作用 ,有效地減少在每一“煙囪”內、及擴大言之該外部殼板 9 5 0及加熱器元件900,9 1 0,920及93 0之溫度。 此外,每一入口 960及出口 970通口可設有一蓋子(未 示出)。藉著打開或關閉該蓋子,可利用或消除該對流之 冷卻效應。再者,該蓋子可具有由完全關閉分佈至完全打 開之各種操作位置,如此允許輕易地調節經過該火爐1 4 0 吸進之正確數量空氣。這不只提供一用於該外部殼板95 0 之溫度調節之額外機構,同時也對該加熱器元件 900,910,920及930及該製程室102本身提供溫度調節之額 外機構。 二殻板環境 -19- (17) (17)200411960 圖10顯示本發明在一具有內部殼板1 000及外部殼板 1010之火爐1 050中操作之具體實施例。大致上,該加熱器 元件1 05 0,1 060,1 070係位於該內部殻板1 000及火爐壁面之 間。又對角影線大致上代表該加熱器元件;未顯示每一加 熱器元件之特定零組件。入口通口 1020及出口通口 1030可 提供於該外部殻板1010之表面中。每一通口亦可具有一能 夠完全或局部打開或關閉之蓋子。 於此環境中,由該內部1 0 0 0及外部1 0 1 0殼板所界定之 圓柱形室1 040之作用極像上面節段中所討論隔熱砌塊間 2 1 0之空間,而允許空氣經由對流循環及冷卻該外部殼板 。可藉著調整該通口蓋子1 020,1 0 3 0調節氣流。於此具體 實施例中,氣流主要甩以冷卻該外部殻板1 0 1 0,使得其可 安全地接觸。在該加熱器元件100上之效果係最小,因爲 該空氣未直接流過它們上方。 然而,當該入口通口 1 000及出口通口 1010係以一氣密 蓋子完全阻斷時,該圓柱形室1 040內側之空氣具有一額外 隔熱層之作用,以於火爐1 05 0操作期間防止熱逃逸。另一 選擇是,一真空幫浦可裝至通入該室1 040及在該室內創造 接近真空之另一通口。此接近真空之空間將具有一甚至更 有效之隔熱體之作用。任一隔熱(空氣或真空)方法可有助 於維持該製程室1 0 2中之溫度及減少該加熱器元件之電力 需求。 應了解圖1 〇之二殼板具體實施例是對圖7之加熱元件 具體實施例特別有用。 -20- (18) (18)200411960 隔熱間隔裝置 於本發明之又另一具體實施例中,一加熱器元件1 00 之隔熱性質可經由加入或移去各種隔熱間隔裝置η 00“急 忙地”改變。圖1 1顯示一包含數個隔熱間隔裝置1 100之加 熱器元件1 00。該隔熱圓柱體典型係由相同之矽石纖維及 鋁合成材料所形成,並當作一加熱器元件1 00,但於另一 具體實施例中可由具有不同隔熱性質之不同材料造成。 該隔熱間隔裝置1 1 00之尺寸係設計成可使他們放入藉 著鄰接之隔熱砌塊210、該座圈2 00之外部、及圖9所示火 爐之外部殻板95 0、或圖10所示火爐之內部殼板1 000所界 定之空間。該間隔裝置1 1 00可簡單地由該火爐之頂部或底 部滑入適當位置,以便提供額外之隔熱作用,而不需拆下 該加熱器元件1 00及安裝一不同元件。當加入更多隔熱間 隔裝置1 1 00時,該加熱器元件之整體隔熱效果係增強。如 此,藉著僅只增加若干間隔裝置,一低溫加熱器元件100 ( 譬如,圖2者)可模倣一中等或高溫加熱器元件(譬如,圖 5及7者)之特性。同理,一中等溫度加熱器元件100可藉 著加入充分之隔熱間隔裝置1 1 00複製一高溫元件之效果。 該隔熱間隔裝置1 100典型具有大約與一隔熱砌塊210 相同之寬度及高度,但可依所需隔熱特性而定在長度中有 不同變化。於另一具體實施例中,每一隔熱間隔裝置1 1 00 可爲大約三倍高於該座圈200或隔熱砌塊210,如此當於操 作中時,允許沿著該三個加熱器元件1 00全部放置一隔熱 間隔裝置。 -21 - (19) (19)200411960 輔助圓柱體 上述隔熱間隔裝置1 1 00之一變異項係一輔助隔熱圓柱 體1 2 0 0之槪念。圖1 2顯示一加熱器元件1 〇 〇及匹配輔助圓 柱體1 2 0 0之一俯視視角橫截面視圖。· 大致上,該輔助隔熱圓柱體12〇〇包含一外殼12 10及至 少一內部隔熱體1 2 2 0。當測量至其內部表面時’該外殼 1210之直徑大約係等於該座圈200之直徑加上一隔熱砌塊 210之寬度。於此方式中,該外殼1210之內部表面合身地 接觸該隔熱砌塊210之外部。該內部隔熱體1220大約與該 加熱器元件100之隔熱砌塊210具有相同之高度及寬度,且 依序合身地裝入抵住該座圈200之外面。當該輔助隔熱圓 柱體1 200係裝配繞著該加熱器元件100時,該內部隔熱體 1 220之數目及定位係使得他們不會重疊該隔熱砌塊210。 該二項目像齒輪般有效地咬合,並以該隔熱砌塊及內部隔 熱體當齒部。 因爲該外殻1210係相當薄,其當使用時幾乎沒有或未 提供隔熱作用。替代地,由該輔助圓柱體1 200所給予之大 多數額外隔熱作用來自該內部隔熱體1 2 2 0。如此,該外殼 1 2 1 0可由任何能夠耐得住該火爐1 4 〇之操作溫度之材料製 成,而該內部隔熱體典型係由前述之矽石纖維及鋁合成物 所形成。於另一具體實施例中,該外殻1 2 1 0亦可提供一隔 熱效果’及可由與該內部隔熱體1 220及加熱器元件100相 同之隔熱合成物製成。 依所想要之額外隔熱特性而定,可對本發明之每一具 •22- (20) (20)200411960 體實施例設計、製造、及採用各種輔助圓柱體1 2 0 0。譬如 ,圖2所示之低溫具體實施例可具有二種不同之輔助隔熱 圓柱體1200。第一圓柱體可具有一相當小數目之內部隔熱 體1220,以便當放置繞著該低溫具體實施例時模擬一中等 溫度加熱器元件1 00及允許空氣流經藉著該空間所形成之 通道。第二圓柱體1200可具有很多(或較長之)內部隔熱體 1 2 2 0,以致充滿該加熱器元件1 〇 〇上各隔熱砌塊2 1 〇間之全 部空間。這依序將模擬一高溫加熱器元件1 〇〇。 結論 如熟諳此技藝者將由本發明具體實施例之先前敘述所 認知,可在所述具體實施例上做極多變化,卻未脫離本發 明之精神及範圍。譬如,一加熱器元件可具有不同之物理 量測’或可由不同材料製成。再者,雖然已於特定具體實 施例及製程之上下文中敘述本發明,此敘述係用作範例且 不欲限制之。據此,藉著以下之申請專利範圍及非藉著前 述之範例指定本發明之適當範圍。 【圖式簡單說明】 圖1顯示本發明一具體實施例之示範操作環境。 圖2顯示本發明之第一具體實施例,其適合與低溫環 境一起使用。 圖3顯示按照本發明一具體實施例之電槪要圖。 圖4顯示圖2具體實施例之一俯視視角視圖。 -23- (21) (21)£00411960 圖5顯示本發明之第二具體實施例,其適合與中等溫 度環境一起使用。 圖6顯示圖5具體實施例之一俯視視角視圖。 圖7顯示本發明之第三具體實施例,其適合與高溫環 境一起使用。 圖8顯示於一合適之操作環境中操作之三個加熱器元 圖9顯示於一殼板環境中操作之本發明第一具體實施 例。 圖1 〇顯示於二殼板環境中操作之本發明第一具體實施 例。 圖1 1顯示一組隔熱之間隔裝置,如與本發明之一具體 實施例一起使用。 圖1 2威不一輔助圓柱體’如與本發明之具體實施例一· 起使用 〇 [圖號說明] 100 加熱元件 101 包體 1 02 製程室 1 04 支座 106 載具 108 晶圓 1 14 熱電耦 -24- (22)200411960 116 注射器 118 淨化通口 120 襯套 122 〇形環 124 基板 126 排氣通口 127 充氣增壓間 128 處理區 140 火爐 152 加熱元件 154 加熱元件 1 56 加熱元.件 200 座圈 2 10 隔熱材料砌塊 220 壁凹 230 加熱器接線柱 240 加熱器元件 3 00 線圈 500 座圈 5 10 隔熱材料砌塊 520 壁凹 530 加熱器接線柱 540 加熱器元件 7 00 座圈 (23) 隔熱圓柱體 加熱器接線柱 加熱器元件 加熱器元件 加熱器元件 加熱器元件 熱電耦 袖珍型式批次處理火爐 熱電耦 熱電耦 熱電耦 熱電耦 熱電耦 加熱器元件 加熱器元件 加熱器元件 加熱器元件 殻板 入口通口 出口通口 內部殼板 外部殼板 入口通口 出口通口 -26- (24) 200411960 1 040 室 1 05 0 加熱器元件 1 060 加熱器元件 1 070 加熱器元件 1110 隔熱間隔裝置 1 200 隔熱圓柱體 1210 外殼 1 2 2 0 內部隔熱體200411960 (1) 发明 Description of the invention [Reference to related applications before and after] This application claims the general provision of the US Provisional Patent Application No. 6 〇 / 3 9 6, 5 3 6 filed on July 15, 2002, The title is "Heat Treatment System", and the benefit and priority of No. 60/42 8,5 26, filed on November 22, 2002, and titled "Heat Treatment System and its Use", and both are cited by reference The way is incorporated into this article. [Technical Field to which the Invention belongs] The present invention relates generally to a method and apparatus for thermally insulating and heating a semiconductor manufacturing environment with a mini-batch furnace, and more particularly to a selective thermal insulation Suitable for heater elements over a wide temperature range. [Previous technology] Stoves are generally used in various industrial applications, including manufacturing integrated circuits or semiconductor devices from semiconductor substrates or wafers. Thermal processing of semiconductor wafers includes, for example, heat treatment, annealing, diffusion or advancement of doped materials, deposition or growth of various material layers, and etching or removal of materials from the substrate. These processes typically require that the wafer be heated to a temperature of up to 250 to 1200 degrees Celsius before and during the process. Furthermore, these process models typically require the wafer to be maintained at a uniform temperature throughout the process, although the temperature of the process gas or its rate of introduction into the process chamber may fluctuate. A conventional stove typically includes a large process chamber that must be located in or surrounded by a stove. The stove typically has most interconnected heating coils. The substrate to be heat-treated is sealed in the process chamber, and then heated by the furnace to a temperature required to perform the process. For many processes, such as chemical vapor deposition, the sealed process chamber is first evacuated, after which the reaction or process gas system is introduced to form or precipitate reactant species on the substrate. There are some design challenges to meet the thermal requirements of heat treatment equipment. For example, the process room temperature usually must change rapidly, such as when thermal processing is started or stopped. Furthermore, the furnace shutdown time should be minimized to maximize the number of semiconductor wafers that can be processed on any given day. In a similar mood, power consumption needs at high operating temperatures need to be minimized for cost efficiency, and easy temperature control at low temperatures must be enhanced to avoid operator interference with processing. Although a semiconductor stove with most interconnected heating coils provides a simple method for heating and cooling the stove, if a single coil fails, the entire heating array must be replaced. Furthermore, this structure can respond to the temperature gradient in the process chamber only by simultaneously adding power to each heating coil, thus causing some parts of the chamber to overheat, so as to eliminate the Temperature fluctuations. This can adversely affect the wafer. This is particularly relevant for recent, large wafer sizes and more complex integrated circuits, where a single wafer system is very expensive. Accordingly, there is a need for a device and method that overcomes the aforementioned problems. SUMMARY OF THE INVENTION Generally, the present invention discloses a device and method for thermal insulation (3) (3) 200411960 and temperature control in a semiconductor manufacturing environment. More particularly, the invention comprises at least one modular heating element, the heating element comprising a ring and an attached thermally insulating block. The heating element is designed to be mounted around a semiconductor stove so as to minimize heat transfer between the inside and the outside of the stove. In the present embodiment, the size of the seat ring or cylinder (also known as a "heating ring") is designed to fit an inner shell plate surrounding a semiconductor compact batch processing furnace. The seat ring has a plurality of channels spaced equidistantly around its inner periphery, each channel containing a heating coil. The coil can be removably or permanently fixed in the channel. Continuing the description of this specific embodiment, the three heater rings are placed so as to overlap each other to completely insulate the process chamber of the furnace. Another embodiment may use a different number of heater rings, such as two, five, etc., to surround the process chamber. By using a plurality of rings and dividing the stove into independent heating zones, each heating zone corresponds to a ring, and the power can be easily monitored and controlled in each zone by selectively adjusting the power supply to the coils in one or more rings Temperature gradient. Furthermore, if the heating coil is faulty, only the heater element containing the coil needs to be removed and replaced. This can be done during operation of the stove 'without removing any other heater elements. An embodiment of the invention has a number of thermally insulating blocks located on the exterior. When viewed in cross-section, this heater ring may resemble a gear with heat-insulating blocks corresponding to the teeth of the gear. The number, thickness, and width of each insulation block can vary depending on the exact heating / insulation characteristics required. This specific embodiment can be adjusted "in a hurry" in order to change the heating and thermal insulation characteristics of each ring-6- (4) (4) 200411960. You can insert the external insulation device of thermal insulation material along the height of each ring and enter the space between the attached thermal insulation blocks. These compartments increase the insulation effect of the heater ring. In yet another embodiment of the present invention, the thermal characteristics of a heater ring can be changed by placing an auxiliary interlocking cylinder along the surface of the stomach. # 言 海 When the auxiliary cylinder is placed around the heater ring, many internal insulation blocks ("internal insulators") are installed along the outside of the seat with the internal insulators. The way of space is fixed to the inner diameter of the cylinder. The subsidized cylinder may have insulated blocks configured in various formats. [Embodiment] Roughly speaking, the methods and devices described herein are used to insulate and control the temperature in a semiconductor manufacturing environment. More specifically, the modular heater element described herein is designed to install a process chamber surrounding a semiconductor furnace in order to minimize heat transfer between the interior and exterior of the furnace. In the present embodiment, the size of the seat ring or cylinder (also known as a "heating ring") is designed to fit an inner shell plate surrounding a semiconductor compact batch processing furnace. The seat has a plurality of channels spaced equidistantly around its inner periphery. Any suitable type of heating coils, including those well known in the art, can be placed in the channels in an overlapping manner to warm the interior of the furnace. The coil is removably or permanently secured within the channel. Continuing the description of this specific embodiment, most heater rings are typically placed so as to overlap each other to completely insulate and surround the furnace. For example, in the specific embodiment of Fig. 1-(5) (5) 200411960, three heater rings 100 are stacked on top of each other to completely surround the side wall of the furnace. By using a plurality of rings and dividing the furnace into separate heating zones, each heating zone corresponds to one or more rings, and the power can be easily monitored by selectively adjusting the power supply to the coils in the ring or rings And control the temperature gradient of each interval. Furthermore, if the 10,000-heating coil is faulty, the seat only containing the coil needs to be removed and replaced. This can minimize the maintenance cost in the embodiment of FIG. Heating coils and insulation materials in the 2/3 range will not be discarded due to a single coil failure. Different embodiments include heater rings with different designs to enable the furnace to operate over a wide temperature range. Roughly speaking, semiconductor manufacturing occurs at temperatures ranging from 200 ° C to 125 ° C. Because the furnace can be operated over different temperature ranges, depending on the process being performed and the number of semiconductors to be manufactured, different quantities of thermal insulation materials may be required at different temperatures. Fewer insulation materials typically result in better heating stability and ease of control. For example, when the stove is overheated, such as when the temperature of a target stove has been exceeded, a heater ring using a small amount of insulation material minimizes the time required to lower the temperature because heat can flow through more easily The stove wall surface. Similarly, when the steady-state power consumption exceeds approximately three percent of the total power, because solid-state power controllers, such as those used in many conventional furnaces, control power more accurately, maximizing the convenience of control. Continuing this paradigm, more insulation reduces the power required to maintain a given temperature because of the heat lost through it. According to this, less heat insulation materials are better at low temperatures, and heat transfer is the smallest problem here, and more heat insulation materials are better at high temperatures. -8- (6) (6) 200411960 Heat transfer increases power consumption here. A specific embodiment has a number of thermally insulating blocks located on the outside. When viewed in section, this heater ring resembles a gear, with heat-insulating blocks corresponding to the teeth of the gear. The number, thickness, and width of each heat-insulating block can vary depending on the exact heating / insulation properties required. For example, 'a low-temperature heater element has extremely narrow heat-insulating blocks (which can be continuous or discontinuous if desired), and there is a large gap between each block, and a high-temperature heating element has an increased thickness Or a wide block, or a larger number of blocks, or a combination thereof. For extremely high temperatures, the thermal insulation block can be replaced with a solid insulating material cylinder. Since the heater ring is modular, one or more rings can be easily changed when the semiconductor stove changes its operation mode from low to high temperature. Furthermore, this embodiment can be adjusted "in a hurry" to change the heating and insulation characteristics of each loop. Extra spacers for insulation can be inserted along the height of each ring and into the space between the attached insulation blocks. These spacers increase the thermal insulation of the heater ring, thus allowing the user of the present invention to adjust the thermal characteristics if desired. In this way, more insulation material is needed here to minimize the power utilization at high temperatures, and additional insulation material spacers can be added to replace the exchange ring. In yet another embodiment of the present invention, the thermal characteristics of a heater ring can be changed by placing an auxiliary interlocking cylinder along the outside of the ring. The supplemented insulated cylinder has a diameter slightly exceeding the diameter of the heater ring. In addition, 'when the auxiliary cylindrical system was placed around the heater ring, many internal thermal insulation shed blocks ("internal thermal insulators") were fixed to the inner diameter of the cylinder in one way (7) (7) 200411960, The inner heat-insulating material on the upper side is filled into the space between the heat-insulating blocks along the outside of the seat ring. Auxiliary cylinders can have internal insulation materials configured in various formats. For example, when engaging with a low-temperature heater ring, an auxiliary cylinder may be arranged with a series of heat-insulating blocks so that the auxiliary cylinder-heating block contacts the heater-ring heat-insulating block. This structure will eliminate any gaps between the insulated blocks, thus emulating a very high temperature insulated structure. Another auxiliary cylinder can be installed to leave a relatively small space between the heat-insulating blocks for use in a medium temperature environment. Operating Environment Figure 1 shows an exemplary operating environment for a semiconductor pocket batch furnace. The furnace 1 40 generally includes a process chamber 102 having a support 104, and the support 104 is designed to be adapted to receive a carrier or ship-shaped container 1 having a batch of wafers 108 fixed therein. 〇6, and the heat source 140 has a number of heating elements 100 for raising the temperature of the wafer 108 to a desired temperature for heat treatment. The furnace 1404 includes one or more optical or electrical temperature sensing elements 1M, such as a resistance temperature device (RTD) or a thermocouple, for monitoring the temperature in the process chamber 102 and / or controlling the heating element 1 〇〇 的 OPERATION. In the specific embodiment shown, the temperature sensing element is a contoured thermocouple 1 1 4 'having independent temperature sensing nodes or points for detecting the temperature at most locations in the process chamber 102. . Alternatively, the temperature sensing element may be a series of temperature-controlled thermocouples (not shown) extending from the heating element 100 and not related to each other. The furnace 140 may also include one or more syringes 1 1 6 (8) (8) 200411960 for introducing fluid, gas, or vapor into the process chamber 102 for processing and / or cooling the wafer 1 08 , And one or more through holes or purification ports 1 1 8 for introducing purification elements into the process chamber (only one of them is shown here). A bushing 120 can be used to increase the concentration of the processing gas or vapor close to the wafer 108, and to reduce the contamination of the wafer caused by the peeling or peeling of deposits that can form on the interior surface of the process chamber package 101 . Roughly, the process chamber 102 is sealed to a platform or substrate 124 by a seal such as an o-ring 122 to completely surround the wafer 108 during heat treatment. The openings for the syringe 1 16, thermocouple 1 1 4 and purge port 11 8 are sealed using seals such as O-rings, VCR®, or CF® accessories. The gas or vapor released or introduced during the treatment is evacuated through an exhaust vent 126 formed in the wall surface of the process chamber 102 or through an inflation pressurization chamber 127 formed on one of the base plates 124, as shown in FIG. The process chamber 102 can be maintained at atmospheric pressure during the heat treatment or evacuated to a nearby vacuum via a pumping system (not shown), which includes one or more unrefined pumps, blowers, and local vacuum ( H i-vacuum) pump, and unrefined throttle and / or front valve. The processing chamber body 101 and the bushing 120 can be made of any metal. Made of ceramic, crystal, or glass materials ‘it is able to withstand the thermal and mechanical stresses of this high temperature and high vacuum operation’ and is resistant to corrosion from the gases or vapors used or released during processing. The process chamber package 101 is preferably made of opaque, translucent or transparent quartz glass with a sufficient thickness to withstand the mechanical stress and the deposition of by-products of the process, thereby reducing the processing environment. Potential pollution. The process chamber body 101 and the liner 120 are selectively made of an opaque quartz, which reduces or eliminates heat away from processing the wafer. 〇8 -11-200411960 〇) area or processing area 128 Conducted to this seal 122. In the specific embodiment of FIG. 1, six heating elements are illustratively used. A first heating element 152 abuts the top of the process chamber 102, and a second element 154 extends along the bottom of the chamber. A third heating element 156 surrounds the bottom of the chamber. The fourth, fifth, and sixth heating elements 100 are identical in function and operation, and surround the rest of the side of the process chamber 102. These three heating elements 100 divide the process chamber into three temperature zones, each of which can be controlled independently of each other. Generally, the heating element is operated to maintain the operating temperature in the process chamber 102 between approximately 250 and 125 ° C. The correct change in operating temperature depends on the wafer loaded in the chamber, the type of wafer made, and the process conditions. Accordingly, each heater element 100 is capable of fully supporting the entire range of potential operating temperatures. Heater element 1-Low temperature embodiment Fig. 2 shows a specific embodiment 240 of the heater element 100 or one of the heating elements 156. The heater element 240 system shown in Fig. 2 is used in a low temperature environment. The heater element 24 includes a ring 200 and a plurality of spaced blocks 2 1 0 of insulating material. A series of coil wall recesses 220 are equidistantly spaced around the inside of the seat 200. The seat ring 200 is typically made of a vacuum-formed fiber having thermal insulation properties. For example, the seat ring may be made of a low density alumina silica fiber insulation material. The manufacture of objects using low-density alumina silica fiber insulation is generally known to those skilled in the art. The insulating material block 2 1 0 can be manufactured (10) (10) 200411960 as an integral part of the seat ring 200, or it can be fixed to the seat ring later. Any attachment mechanism known to those skilled in the art may have the function of tightening the heat insulating material block to the seat ring. In general, the size of the seat ring 200 is designed to fit around the outside of the process chamber 102. The inside of the seat 200 may be separated by a small distance from the outer wall surface of the process chamber 102 (as shown in Fig. 1), or it may be positioned flush against the chamber. By leaving a small amount of space between the seat ring 200 and the process chamber 102, the air involved can distribute the heat generated by the heating wire 圏, so as to assist in generating a uniform temperature distribution across the wafer, and can also help cool down. By mounting the seat ring 200 flush against the shell plate of the process chamber 102, heat transfer to the interior of the process chamber is reduced, thereby minimizing power requirements. Accordingly, different sizes of heater elements 100 may be required in different situations. However, in this specific embodiment, the heater ring 100 has approximately 20. An inner diameter of 5 inches, an outer diameter of approximately 26.5 inches, and a height of approximately three to nine inches. Typically, most heater rings 100 are used with a single stove. In this embodiment, a top insulator ring (about three inches high), three main heater rings (each about nine inches high), and a base heater ring (about three inches high) are all placed Around a single stove to form a "heater stack." The heater stack is approximately thirty-six inches high. In this embodiment, most of the block 210 of thermal insulation material is around the seat ring. 2 0 0 are evenly spaced. The heat insulating material block 2 10 is typically made of the same material as the seat 200, but can be formed of different types of heat insulating material if desired. Furthermore, although In this specific embodiment, each of the insulating material blocks 2 1 0 is formed of the same material. If necessary, various blocks may be made of different (11) (11) 200411960 insulating materials. In this specific embodiment, the partition The width of the thermal material block 2 1 0 is approximately equal to the outer edge and the edge contacting the seat 200, although another specific embodiment may increase or decrease the width of the insulating material over the length of the block 2 1 0. The heater element 240 is designed for low temperature operation. The material block 210 covers a relatively small percentage of the total surface area of the seat 200. Under low temperature operation, the heat loss is relatively minimal. This sequentially indicates that the power demand is also minimized. Accordingly, by using With less insulating material, this embodiment of the heater element 100 produces better heating stability and easier temperature control. A pair of heater terminals 23 0 extend through the insulating material block 2 1 〇 之- -c Figure 3 shows the schematic diagram of the circuit formed by the heater terminal 23 0 and the coil 3 00. The heater terminal 23 0 is electrically connected to the heater coil 300 and the power supply extending through the coil wall recess 220 Here, each series of heater coil 300 and heater terminal 2 30 together form an electrical circuit, so that the power system is supplied to the coil by a power supply (not shown). Please note that by this wiring The power supply connected to the heater coil 3 00 at the post 230 can be directly or remotely connected physically or electrically to the terminal. That is, the power supply can close the electrical circuit shown in FIG. There can be many intervening components between the power sources. Consider any method or architecture known to the artisan that allows electricity to flow through the terminal and to the coil. Of course, the heater coil 300 converts electrical energy into a high coil impedance known to the artisan In this way, by changing the electric power supplied to the heating (12) (12) 200411960 coil 3 00 through the heater terminal 230, the heat generated by the heater element 100 can be easily controlled. Suitable materials for the heating coil 300 include a nickel-chromium resistance alloy and an iron-chromium-aluminum resistance alloy. Fig. 4 shows a top perspective view of the heater element 100 shown in Fig. 2. The seat ring, the protrusion The heat-insulating material block 210, the heater coil wall recess 220, and the heater terminal 230 are all visible. In general, the combination of a dotted line extending through the recess of the heater coil wall and an individual line perpendicular to the dotted line indicates the center of each heating coil recess. 2 . Moderate Temperature Embodiment FIG. 5 shows a second embodiment 540 suitable for a medium temperature environment. The heater element 520 shown in FIG. 5 also has a circle 500, a series of insulating material blocks 5 1 0 located around the outside of the ring, a coil wall recess 520 located along the outside of the seat, and a pair of heater wiring Column 5 3 0. Generally, the architecture of the medium temperature heater element 540 is similar to that shown in FIG. The series of differences between these specific examples is as follows. First, the number of heat-insulating blocks 5 10 included in this embodiment is generally increased beyond that in the low-temperature embodiment of FIG. 2. Generally, in this embodiment, a heat-insulating block 5 10 is located behind each coil wall recess 520. Approximately 50 percent of the seat 500 is covered with a thermal insulation block 51. By having a heat-insulating block behind each coil 300, heat-insulating material is provided along the outer surface of the ring 500 where the surface temperature is the hottest and the heat loss occurs so quickly. However, depending on the material contained and the thermal properties of the ring 500 determined by the physical measurement of the ring, the heat radiated by the coil (13) (13) 200411960 3 00 is distributed fairly uniformly along the ring external. In this case, the insulating block 210 can be positioned anywhere along the outside of the ring 200 if desired. Since a proportionally larger percentage of one of the seats 500 is covered by an insulating block, the heater element 540 shown in FIG. 5 retains more heat than the embodiment shown in FIG. 2. Accordingly, the power consumption in this embodiment is relatively small, although heating stability may be sacrificed. FIG. 6 shows a top perspective view of one of the intermediate-temperature heater elements 100 shown in FIG. Roughly, the combination of a dotted line extending through the depression of the heater coil wall and an individual line perpendicular to the dotted line indicates the center of the depression of each heating coil wall. 3. High Temperature Specific Embodiment The specific embodiment 740 of the heater element shown in Figure 7 is intended for high temperature operation and includes a circle 700 and a heater terminal 7 30, as previously discussed. However, the heater element 740 lacks a separate thermal block here. Instead, an insulating cylinder 710 completely surrounds the seat 700. The cylinder 7 10 provides maximum thermal insulation and thermal insulation, which is desirable at high operating temperatures in order to minimize power loss and external heating of the furnace. Roughly, the specific embodiment shown in Fig. 7 operates in a manner similar to that previously discussed. Please note that although the embodiment shown in Figs. 2-6 has evenly spaced thermally insulating blocks, it is not required here that the blocks are evenly distributed around the periphery of the seat ring. The block can be moved around the position if necessary during the construction of the heater element, and in most cases will not adversely affect the operating characteristics of the element. Furthermore, although the foregoing specific embodiment has a plurality of coils electrically connected to each other and fixed in various coil wall recesses 220, the coils may be separate electrical components and / or movable in another embodiment. 4-Specific embodiment in operation-multi-zone control Fig. 8 shows a cross-sectional view of a pocket-type batch processing furnace 8 4 0 with a specific embodiment of a heating element 100 installed and operated. In summary, the furnace 140 is divided into three separate temperature zones (labeled "TZ1", "TZ2" and "TZ3" in Figure 8), each zone corresponding to a unique heater element 800,810,820. The heater elements 800, 810, 820 can be individually placed, removed, and controlled. By allowing each heater element to be installed or replaced separately, if there is any single coil failure, only 1/3 of the heating coil 3 00 needs to be replaced. Although this embodiment does not allow the heater elements 800, 810, and 820 to be replaced while the stove 840 is in operation, another embodiment may allow this "heat exchange". Furthermore, because each heater element 80, 8, 10, and 8 20 can be controlled independently, the temperature of a single zone Ding 21 彳 22, Ding 23 can be raised or lowered as needed to compensate from the process chamber 102 Heat loss and ensure uniform heat distribution across the wafer. For example, the desired process temperature inside the chamber 102 may be 750 degrees Celsius. As previously discussed, the temperature in most locations inside the process chamber 102 can be controlled by an array of thermocouples 8 3 0,840,8 5 0,8 60,8 70, multiple sets of thermocouples with multiple temperature measurement points (Profile thermocouple) 8 80, or (15) (15) 200411960 measured by a temperature sensing element. Furthermore, a temperature-controlled thermocouple may extend through the heating element (e.g., temperature-controlled thermocouple 830) or may occupy a space between adjacent heating elements (e.g., temperature-controlled thermocouple 890). When the average temperature in the chamber 102 can be 750 degrees Celsius, a single thermocouple 850 can only sense the temperature of one point of the zone TZ3 as 730 degrees Celsius. Instead of increasing the power to each heating element 800,8 1 0,820 in order to raise the temperature in zone TZ3, when additional power related to the heating zone below is sent to the heating element 820, the two heating elements 800,8 1 0 may keep it steady. In this way, the temperature in the zone TZ3 will rise, while the temperature in the other zones TZ1, TZ2 will remain relatively unchanged (ignoring the thermal migration effect). In this way, not only the temperature in a single zone can be increased more rapidly due to more direct heating, but electricity can also be saved across the entire system. A shell and plate environment. 〇 The heater element 9 5 0 is flush with the inside of the outer shell 9 50 0, 92 0 5 9 3 0. The heater element is indicated by a diagonal hatch. Please understand that the diagonal hatch roughly represents the heater element; individual components of the heater element are not shown. In this operating environment, only the heat-insulating block 21 0 (or 5 10 or 710 ′ depends on the specific embodiment) actually contacts the outer shell plate 95 0. The seat ring 200 does not contact the outer shell plate. (The cross-section of FIG. 9 is taken through a series of heat-insulating blocks 210.) Because the heat-insulating block 210 is the only part of the heater element 900, 910, 920 that contacts the outer shell plate 95, the shell plate remains equivalent Cool down and contact -18- (16) (16) 200411960. By the shielding properties of the heat-insulating block 210 and the air space between the seat 200 and the outer shell plate 95, a large part of the heat generated by the heater coil 300 is prevented from reaching the shell plate 95. In this way, an operator can safely touch the stove during operation without the risk of being burned. The outer shell 950 of the stove 140 may also be provided with one or more inlet openings 960 and outlet openings 970. Air flows into the inlet opening 960 at the bottom of the stove 140 or the outer shell plate 950, upwards through the space between the heat-insulating blocks 210 of the seat 200 mounted to each of the heater elements 900, 9 0, 920, and 930 And out of the exit port 970. The space defined by the adjacent heat-insulating block, the seat ring, and the outer shell plate can be effectively used as a chimney. As the heat rises, cold air is drawn through the inlet port 960 and exhausted through the outlet port 970 after heating. The movement of the air has the effect of convective coolant, which effectively reduces the temperature in each "chimney" and expands the external shell plate 950 and heater elements 900, 910, 920, and 930. In addition, each inlet 960 and outlet 970 ports may be provided with a cover (not shown). By opening or closing the lid, the cooling effect of the convection can be utilized or eliminated. Furthermore, the cover can have various operating positions distributed from fully closed to fully opened, thus allowing easy adjustment of the correct amount of air drawn in through the furnace 140. This not only provides an additional mechanism for temperature adjustment of the outer casing 95, but also provides additional mechanisms for temperature adjustment of the heater elements 900, 910, 920, and 930 and the process chamber 102 itself. Two Shell Plate Environment -19- (17) (17) 200411960 Figure 10 shows a specific embodiment of the invention operating in a furnace 1 050 with an inner shell plate 1000 and an outer shell plate 1010. Generally, the heater element 1 05 0,1 060,1 070 is located between the inner shell plate 1000 and the furnace wall surface. Also the diagonal hatching roughly represents the heater element; the specific components of each heater element are not shown. An inlet port 1020 and an outlet port 1030 may be provided in the surface of the outer shell plate 1010. Each port may also have a lid that can be fully or partially opened or closed. In this environment, the cylindrical chamber 1 040 defined by the inner 1 0 0 and outer 1 1 0 0 shell plates acts much like the space 2 1 0 between the insulated blocks discussed in the section above, and Air is allowed to circulate through the convection and cool the outer shell. The airflow can be adjusted by adjusting the port cover 1 020,1 0 3 0. In this specific embodiment, the airflow is mainly flung to cool the outer shell plate 1 0 1 0 so that it can be safely contacted. The effect on the heater element 100 is minimal because the air does not flow directly over them. However, when the inlet opening 1000 and the outlet opening 1010 are completely blocked with an air-tight lid, the air inside the cylindrical chamber 1 040 has an additional thermal insulation layer, so that during the operation of the furnace 1050 Prevent heat escape. Alternatively, a vacuum pump can be installed to access the chamber 1 040 and create another port close to the vacuum in the chamber. This near-vacuum space will serve as an even more effective insulator. Either insulation (air or vacuum) method can help maintain the temperature in the process chamber 102 and reduce the power requirements of the heater element. It should be understood that the specific embodiment of the shell plate of FIG. 10 is particularly useful for the specific embodiment of the heating element of FIG. -20- (18) (18) 200411960 In another specific embodiment of the present invention, the insulation properties of a heater element 100 can be added or removed by adding or removing various insulation spacers η 00 " Hastily "changed. FIG. 11 shows a heater element 100 including a plurality of thermally insulated spacers 1 100. The heat-insulating cylinder is typically formed of the same silica fiber and aluminum composite material as a heater element 100, but in another embodiment may be made of different materials having different heat-insulating properties. The insulation spacers 1 1 00 are dimensioned so that they can be inserted through the adjacent insulation block 210, the outside of the seat 2 00, and the outer shell 9500 of the furnace shown in FIG. 9, or The space defined by the inner shell plate 1000 of the furnace shown in FIG. The spacer 1 100 can simply be slid into place from the top or bottom of the stove to provide additional insulation without removing the heater element 100 and installing a different element. When more insulation spacers 1 100 are added, the overall insulation effect of the heater element is enhanced. As such, by adding only a few spacers, a low temperature heater element 100 (e.g., Fig. 2) can mimic the characteristics of a medium or high temperature heater element (e.g., Figs. 5 and 7). By the same token, a medium temperature heater element 100 can reproduce the effect of a high temperature element by adding sufficient thermal insulation spacer 1 1 00. The thermal insulation spacer device 1 100 typically has approximately the same width and height as a thermal insulation block 210, but may vary in length depending on the required thermal insulation characteristics. In another specific embodiment, each of the thermal insulation spacers 1 1 00 may be approximately three times higher than the seat ring 200 or thermal insulation block 210, so that when in operation, it is allowed to run along the three heaters. Element 100 is all placed with a thermal insulation spacer. -21-(19) (19) 200411960 Auxiliary cylinder One of the variations of the above-mentioned thermal insulation spacer 1 1 00 is an idea of an auxiliary thermal insulation cylinder 1 2 0 0. FIG. 12 shows a cross-sectional view of a heater element 100 and one of the matching auxiliary cylinders 1220 in a top view. · Generally, the auxiliary thermal insulation cylinder 120 includes an outer casing 12 10 and at least one internal thermal insulator 12 2 0. When measured to its inner surface, the diameter of the casing 1210 is approximately equal to the diameter of the seat 200 plus the width of a heat-insulating block 210. In this manner, the inner surface of the housing 1210 fits into contact with the outside of the heat-insulating block 210. The inner heat insulator 1220 has approximately the same height and width as the heat-insulating block 210 of the heater element 100, and is fitted into the outer ring 200 in an orderly fit. When the auxiliary thermal insulation cylinders 1 200 are assembled around the heater element 100, the number and positioning of the internal thermal insulators 1 220 are such that they do not overlap the thermal insulation block 210. The two items are effectively engaged like gears, and the heat insulation block and the internal heat insulator are used as the teeth. Because the housing 1210 is relatively thin, it has little or no thermal insulation when in use. Instead, most of the additional insulation provided by the auxiliary cylinder 1 200 comes from the internal insulator 1 2 2 0. In this way, the casing 1210 can be made of any material capable of withstanding the operating temperature of the furnace 140, and the internal heat insulator is typically formed of the aforementioned silica fiber and aluminum composite. In another specific embodiment, the casing 1210 can also provide a thermal insulation effect 'and can be made of the same thermal insulation composition as the internal thermal insulator 1 220 and the heater element 100. Depending on the desired additional insulation properties, each of the present invention can be designed, manufactured, and used with various auxiliary cylinders 1 2 0 0. For example, the low-temperature embodiment shown in FIG. 2 may have two different auxiliary thermal insulation cylinders 1200. The first cylinder may have a relatively small number of internal heat insulators 1220 to simulate a medium temperature heater element 100 when placed around the low temperature embodiment and allow air to flow through the passage formed by the space. . The second cylinder 1200 may have many (or longer) internal thermal insulators 1220, so as to fill the entire space between the thermal insulating blocks 2100 on the heater element 1000. This in turn will simulate a high temperature heater element 100. Conclusion As those skilled in the art will recognize from the foregoing description of the specific embodiments of the present invention, many changes can be made in the specific embodiments without departing from the spirit and scope of the present invention. For example, a heater element may have different physical measurements' or may be made of different materials. Furthermore, although the invention has been described in the context of specific specific embodiments and processes, this description is intended as an example and is not intended to be limiting. Accordingly, the appropriate scope of the present invention is specified by the following patent application scope and not by the aforementioned examples. [Brief Description of the Drawings] FIG. 1 shows an exemplary operating environment of a specific embodiment of the present invention. Fig. 2 shows a first embodiment of the present invention, which is suitable for use with a low temperature environment. FIG. 3 shows a schematic diagram of an electrical signal according to a specific embodiment of the present invention. FIG. 4 shows a top perspective view of the embodiment of FIG. 2. -23- (21) (21) £ 00411960 Figure 5 shows a second embodiment of the present invention which is suitable for use with a medium temperature environment. FIG. 6 shows a top perspective view of the embodiment of FIG. 5. Fig. 7 shows a third embodiment of the present invention, which is suitable for use with a high temperature environment. Fig. 8 shows three heater elements operating in a suitable operating environment. Fig. 9 shows a first embodiment of the present invention operating in a shell and plate environment. Fig. 10 shows a first embodiment of the present invention operating in a two-shell environment. Figure 11 shows a set of thermally insulated spacers, as used with one embodiment of the present invention. Figure 1 2 Auxiliary cylinder 'as used in the first embodiment of the present invention. [Figure No.] 100 heating element 101 package 1 02 process chamber 1 04 support 106 carrier 108 wafer 1 14 Thermocouple-24- (22) 200411960 116 Syringe 118 Purification port 120 Bushing 122 O-ring 124 Base plate 126 Exhaust port 127 Inflatable pressurized room 128 Processing area 140 Furnace 152 Heating element 154 Heating element 1 56 Heating element. Pieces 200 Seat 2 10 Insulation block 220 Wall recess 230 Heater terminal 240 Heater element 3 00 Coil 500 Seat 5 10 Insulation block 520 Wall recess 530 Heater post 540 Heater element 7 00 Seat ring (23) Insulated cylinder heater Terminal heater element Heater element Heater element Heater element Miniature batch processing furnace Thermocouple Thermocouple Thermocouple Thermocouple Thermocouple heater element heater element heating Heater element shell element inlet port outlet port inner shell plate outer shell plate inlet port outlet port -26- (24) 200411960 1 040 Room 1 05 0 Heater element 1 060 Heater element 1 070 Heater Element 1110 Thermal insulation spacer 1 200 Thermal insulation cylinder 1210 Housing 1 2 2 0 Internal thermal insulator

Claims (1)

Translated fromChinese
(1) (1)E00411960 拾、申請專利範圍 1 種用於加熱一部份半導體製造火爐之加熱元件 ,其包含: 一座圈,其具有一線圈壁凹; 一線圈,其位於該一線圈壁凹內;及 一隔熱砌塊,其固定至該座圈;其中 該加熱元件實質上圍繞少於該整個火爐。 2 ·如申請專利範圍第1項之加熱元件,其中該加熱 線圈係可移去地位於該線圈壁凹內。 3 ·如申請專利範圍第1項之加熱元件,其中該隔熱 砌塊係直接位於該加熱線圈之後方。 4 .如申請專利範圍第1項之加熱元件,其中該座圏 及隔熱砌塊兩者係由相同之隔熱材料製成。 5 ·如申請專利範圍第4項之加熱元件,其中該隔熱 材料係一真空成形之矽石纖維及鋁合成物。 6 _如申§靑專利範圍第1項之加熱元件,其中該隔熱 砌塊係永久地附著至該座圈。 7 ·如申請專利範圍第6項之加熱元件,其中該加熱 元件係架構用於低溫操作。 8 ·如申請專利範圍第6項之加熱元件,其中該加熱 元件係架構用於中等溫度操作。 9 .如申請專利範圍第6項之加熱元件,其中該加熱 元件係架構用於高溫操作。 1 〇·如申請專利範圍第6項之加熱元件,尙包含一可 -28- (2) (2)200411960 移去地置於該隔熱砌塊及第二鄰接隔熱砌塊間之隔熱間隔 裝置。 11 ·如申請專利範圍第1 〇項之加熱元件,其中該隔熱 間隔裝置係於加熱器元件之操作期間暫時地放置。 1 2·如申請專利範圍第6項之加熱元件,尙包含一輔 助隔熱圓柱體,其包含: 一外部圓柱形外殼,其尺寸係設計成可裝配繞著該座 圈及至少一隔熱砌塊之組合;及 一內部隔熱體,其尺寸係設計成可裝配於該砌塊及一 鄰接隔熱砌塊之間。 13. 如申請專利範圍第12項之加熱元件,其中: 該外部圓柱形外殻之內部表面接觸該隔熱砌塊之外部 表面及該鄰接隔熱砌塊之外部表面;及 該內部隔熱體之內部表面接觸該座圈之外部表面。 14. 一種用於加熱及隔熱一半導體製造火爐之方法, 其包含= 決定一想要之操作溫度; 對決定一想要之操作溫度作出回應,選擇一對應之加 熱器元件架構; 繞著該火爐放置具有適當架構之第一及第二加熱器元 件,該第一加熱器元件對應於第一及第二溫度區;及 提供電力至該第一及第二加熱器元件中之至少一線圈 〇 15. 如申請專利範圍第14項之方法,尙包含: -29- (3) (3)E00411960 偵測該第一溫度區中之一溫度波動;及 對偵測該溫度波動作出回應,提供額外之電力至該第 一加熱器元件中之至少一線圈。 16. 如申請專利範圍第15項之方法,尙包含: 偵測該第一加熱器元件中之一加熱器線圈不再起作用 ;及 對偵測該不再起作用加熱器線圈作出回應,當把該第 二加熱器元件留在適當地方時,更換該第一加熱器元件。 17. 如申請專利範圍第15項之方法,尙包含: 增加電力至該至少一線圈,以便增加該火爐之操作溫 度;及 對增加電力至:該至少一線圈作出回應,將一隔熱間隔 裝置加至該第一及第二加熱器元件。 18. 如申請專利範圍第15項之方法,尙包含: 增加電力至該至少一線圏,以便增加該火爐之操作溫 度;及 對增加電力至該至少一線圈作出回應,將一輔助隔熱 圓柱體放置繞著該第一及第二加熱器元件。 19. 一種用於隔熱一半導體製造火爐之加熱器架構, 其包含: 第一加熱器,該第一加熱器包含·· 第一座圈,其具有至少一第一線圈壁凹; 至少一第一加熱線圈,其位於該至少一第一線圈壁凹 內;及 -30- (4) (4)200411960 至少一第一隔熱砌塊,其附著至該第一座圈;及 第二加熱器,其放置毗連該第一加熱器,該第二加熱 器包含: 第二座圈,其具有至少一第二線圈壁凹; 至少一第二加熱線圈,其位於該至少一第二線圈壁凹 內; 至少一第二隔熱砌塊,其附著至該二座圈;及 一電源機構,其用於提供電力至該至少一第一加熱線 圈及至少一第二加熱線圈; 其中該至少一第一加熱線圈及至少一第二加熱線圈彼 此合作以維持該火爐內之一溫度。 2 0.如申請專利範圍第1 9項之加熱器架構,其中該第 一加熱器可移去,而不需移去該第二加熱器。(1) (1) E00411960 Scope of patent application and application 1 A heating element for heating a part of a semiconductor manufacturing furnace, comprising: a circle having a coil wall recess; a coil being located in the coil wall recess Inside; and an insulating block secured to the seat ring; wherein the heating element substantially surrounds less than the entire stove. 2. The heating element according to item 1 of the patent application scope, wherein the heating coil system is removably located in the recess of the coil wall. 3. The heating element according to item 1 of the patent application scope, wherein the heat-insulating block is directly behind the heating coil. 4. The heating element according to item 1 of the scope of patent application, wherein the seat block and the heat-insulating block are both made of the same heat-insulating material. 5. The heating element according to item 4 of the application, wherein the thermal insulation material is a vacuum-formed silica fiber and an aluminum composite. 6 _ The heating element of claim 1 in the patent scope, wherein the heat-insulating block is permanently attached to the seat. 7 The heating element according to item 6 of the patent application scope, wherein the heating element is used for low temperature operation. 8 The heating element according to item 6 of the patent application, wherein the heating element is structured for medium temperature operation. 9. The heating element according to item 6 of the patent application, wherein the heating element is used for high temperature operation. 1 〇 · If the heating element in the scope of the patent application No. 6 includes a heat insulation which can be removed and placed between the heat insulation block and the second adjacent heat insulation block Spacer. 11 The heating element according to item 10 of the patent application scope, wherein the heat insulation spacer is temporarily placed during the operation of the heater element. 1 2 · If the heating element of the patent application No. 6 includes an auxiliary heat-insulating cylinder, which includes: an outer cylindrical shell, the size is designed to be assembled around the seat ring and at least one heat-insulating block A combination of blocks; and an internal thermal insulator whose dimensions are designed to fit between the block and an adjacent thermal block. 13. The heating element as claimed in claim 12, wherein: the inner surface of the outer cylindrical shell contacts the outer surface of the heat-insulating block and the outer surface of the adjacent heat-insulating block; and the inner heat insulator The inner surface contacts the outer surface of the race. 14. A method for heating and insulating a semiconductor manufacturing stove, comprising: determining a desired operating temperature; responding to determining a desired operating temperature, selecting a corresponding heater element architecture; The stove places first and second heater elements with appropriate structures, the first heater elements corresponding to the first and second temperature zones; and providing power to at least one coil in the first and second heater elements. 15. If the method of applying for item No. 14 of the patent scope includes: -29- (3) (3) E00411960 detecting one temperature fluctuation in the first temperature zone; and responding to detecting the temperature fluctuation, providing additional The power is supplied to at least one coil in the first heater element. 16. The method of claim 15 of patent application scope, comprising: detecting that a heater coil in the first heater element is no longer functioning; and responding to detecting that the heater coil is no longer functioning, When the second heater element is left in place, the first heater element is replaced. 17. The method according to item 15 of the patent application, which comprises: increasing power to the at least one coil in order to increase the operating temperature of the stove; and responding to increasing the power to: the at least one coil, a thermal insulation spacer Added to the first and second heater elements. 18. The method according to item 15 of the patent application, comprising: adding power to the at least one line to increase the operating temperature of the stove; and responding to the addition of power to the at least one coil, an auxiliary thermal insulation cylinder Placed around the first and second heater elements. 19. A heater structure for thermally insulating a semiconductor manufacturing furnace, comprising: a first heater, the first heater including a first ring having at least one first coil wall recess; at least one first A heating coil located in the recess of the at least one first coil wall; and -30- (4) (4) 200411960 at least one first heat-insulating block attached to the first seat ring; and a second heater It is placed adjacent to the first heater, and the second heater includes: a second seat ring having at least one second coil wall recess; at least one second heating coil located in the at least one second coil wall recess At least one second heat-insulating block attached to the two races; and a power supply mechanism for providing power to the at least one first heating coil and at least one second heating coil; wherein the at least one first The heating coil and the at least one second heating coil cooperate with each other to maintain a temperature in the furnace. 20. The heater structure according to item 19 of the patent application scope, wherein the first heater can be removed without removing the second heater.-31 --31-
TW92119296A2002-07-152003-07-15Variable heater element for low to high temperature rangesTW200411960A (en)

Applications Claiming Priority (2)

Application NumberPriority DateFiling DateTitle
US39653602P2002-07-152002-07-15
US42852602P2002-11-222002-11-22

Publications (1)

Publication NumberPublication Date
TW200411960Atrue TW200411960A (en)2004-07-01

Family

ID=30118590

Family Applications (9)

Application NumberTitlePriority DateFiling Date
TW92119298ATW200416773A (en)2002-07-152003-07-15Thermal processing system and configurable vertical chamber
TW92119297ATW200409176A (en)2002-07-152003-07-15System and method for cooling a thermal processing apparatus
TW92119303ATW200406818A (en)2002-07-152003-07-15Control of a gaseous environment in a wafer loading chamber
TW92119299ATW200416774A (en)2002-07-152003-07-15Apparatus and method for backfilling a semiconductor wafer process chamber
TW92119295ATW200419890A (en)2002-07-152003-07-15Servomotor control system and method in a semiconductor manufacturing environment
TW92119300ATW200405401A (en)2002-07-152003-07-15Thermal processing apparatus and method for evacuating a process chamber
TW92119301ATW200416775A (en)2002-07-152003-07-15Loadport apparatus and method for use thereof
TW92119294ATW200411717A (en)2002-07-152003-07-15Method and apparatus for supporting semiconductor wafers
TW92119296ATW200411960A (en)2002-07-152003-07-15Variable heater element for low to high temperature ranges

Family Applications Before (8)

Application NumberTitlePriority DateFiling Date
TW92119298ATW200416773A (en)2002-07-152003-07-15Thermal processing system and configurable vertical chamber
TW92119297ATW200409176A (en)2002-07-152003-07-15System and method for cooling a thermal processing apparatus
TW92119303ATW200406818A (en)2002-07-152003-07-15Control of a gaseous environment in a wafer loading chamber
TW92119299ATW200416774A (en)2002-07-152003-07-15Apparatus and method for backfilling a semiconductor wafer process chamber
TW92119295ATW200419890A (en)2002-07-152003-07-15Servomotor control system and method in a semiconductor manufacturing environment
TW92119300ATW200405401A (en)2002-07-152003-07-15Thermal processing apparatus and method for evacuating a process chamber
TW92119301ATW200416775A (en)2002-07-152003-07-15Loadport apparatus and method for use thereof
TW92119294ATW200411717A (en)2002-07-152003-07-15Method and apparatus for supporting semiconductor wafers

Country Status (6)

CountryLink
EP (2)EP1540258A1 (en)
JP (2)JP2005533232A (en)
CN (1)CN1643322A (en)
AU (9)AU2003259104A1 (en)
TW (9)TW200416773A (en)
WO (9)WO2004007800A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
TWI677037B (en)*2014-06-172019-11-11美商蘭姆研究公司Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly

Families Citing this family (402)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
JP2005504885A (en)2001-07-252005-02-17アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
US20030029715A1 (en)2001-07-252003-02-13Applied Materials, Inc.An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en)2001-07-252015-06-09Applied Materials, Inc.Cobalt deposition on barrier surfaces
US20090004850A1 (en)2001-07-252009-01-01Seshadri GanguliProcess for forming cobalt and cobalt silicide materials in tungsten contact applications
US6936906B2 (en)2001-09-262005-08-30Applied Materials, Inc.Integration of barrier layer and seed layer
US6916398B2 (en)2001-10-262005-07-12Applied Materials, Inc.Gas delivery apparatus and method for atomic layer deposition
US6972267B2 (en)2002-03-042005-12-06Applied Materials, Inc.Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7186385B2 (en)2002-07-172007-03-06Applied Materials, Inc.Apparatus for providing gas to a processing chamber
EP1420080A3 (en)2002-11-142005-11-09Applied Materials, Inc.Apparatus and method for hybrid chemical deposition processes
US7966969B2 (en)2004-09-222011-06-28Asm International N.V.Deposition of TiN films in a batch reactor
US7427571B2 (en)2004-10-152008-09-23Asm International, N.V.Reactor design for reduced particulate generation
TWI332532B (en)2005-11-042010-11-01Applied Materials IncApparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (en)*2005-11-072007-05-08Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US20070194470A1 (en)*2006-02-172007-08-23Aviza Technology, Inc.Direct liquid injector device
WO2007099387A1 (en)2006-03-032007-09-07Mymetics CorporationVirosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en)2006-06-222010-04-06Asm International N.V.Deposition of complex nitride films
US7629256B2 (en)2007-05-142009-12-08Asm International N.V.In situ silicon and titanium nitride deposition
DE102007058053B4 (en)*2007-11-302009-10-15Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US9157150B2 (en)*2007-12-042015-10-13Cypress Semiconductor CorporationMethod of operating a processing chamber used in forming electronic devices
JP4885901B2 (en)*2008-03-312012-02-29株式会社山武 Flow control system
US10378106B2 (en)2008-11-142019-08-13Asm Ip Holding B.V.Method of forming insulation film by modified PEALD
US7833906B2 (en)2008-12-112010-11-16Asm International N.V.Titanium silicon nitride deposition
US8136618B2 (en)2009-01-212012-03-20The Raymond CorporationCyclonic motor cooling for material handling vehicles
US9394608B2 (en)2009-04-062016-07-19Asm America, Inc.Semiconductor processing reactor and components thereof
US8802201B2 (en)2009-08-142014-08-12Asm America, Inc.Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (en)*2010-06-082015-10-14国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en)*2010-12-242018-07-13엘지이노텍 주식회사Vacuum heat treatment apparatus
US9312155B2 (en)2011-06-062016-04-12Asm Japan K.K.High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en)2011-06-272019-07-30Asm Ip Holding B.V.Dual section module having shared and unshared mass flow controllers
US9018567B2 (en)2011-07-132015-04-28Asm International N.V.Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en)2011-07-152020-12-01Asm Ip Holding B.V.Wafer-supporting device and method for producing same
US20130023129A1 (en)2011-07-202013-01-24Asm America, Inc.Pressure transmitter for a semiconductor processing environment
US9017481B1 (en)2011-10-282015-04-28Asm America, Inc.Process feed management for semiconductor substrate processing
US9147584B2 (en)*2011-11-162015-09-29Taiwan Semiconductor Manufacturing Company, Ltd.Rotating curing
US9659799B2 (en)2012-08-282017-05-23Asm Ip Holding B.V.Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (en)*2012-09-172014-09-18주식회사 유진테크Apparatus for processing substrate
US10714315B2 (en)2012-10-122020-07-14Asm Ip Holdings B.V.Semiconductor reaction chamber showerhead
US10177014B2 (en)2012-12-142019-01-08Applied Materials, Inc.Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en)2013-02-012016-12-29Asm Ip Holding B.V.System for treatment of deposition reactor
US9484191B2 (en)2013-03-082016-11-01Asm Ip Holding B.V.Pulsed remote plasma method and system
US9589770B2 (en)2013-03-082017-03-07Asm Ip Holding B.V.Method and systems for in-situ formation of intermediate reactive species
US10386019B2 (en)2013-03-152019-08-20Southwire Company, LlcFlow control and gas metering process
US9240412B2 (en)2013-09-272016-01-19Asm Ip Holding B.V.Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160348240A1 (en)*2014-01-272016-12-01Applied Materials, IncHigh speed epi system and chamber concepts
US10683571B2 (en)2014-02-252020-06-16Asm Ip Holding B.V.Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en)2014-03-182019-01-01Asm Ip Holding B.V.Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en)2014-03-192021-05-25Asm Ip Holding B.V.Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en)2014-07-282020-12-08Asm Ip Holding B.V.Showerhead assembly and components thereof
US9890456B2 (en)2014-08-212018-02-13Asm Ip Holding B.V.Method and system for in situ formation of gas-phase compounds
US9657845B2 (en)2014-10-072017-05-23Asm Ip Holding B.V.Variable conductance gas distribution apparatus and method
US10941490B2 (en)2014-10-072021-03-09Asm Ip Holding B.V.Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en)2014-12-222021-06-09에이에스엠 아이피 홀딩 비.브이.Semiconductor device and manufacuring method thereof
US10529542B2 (en)2015-03-112020-01-07Asm Ip Holdings B.V.Cross-flow reactor and method
US10276355B2 (en)2015-03-122019-04-30Asm Ip Holding B.V.Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en)2015-06-262019-10-29Asm Ip Holding B.V.Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en)2015-07-072020-03-24Asm Ip Holding B.V.Magnetic susceptor to baseplate seal
TWI642137B (en)*2015-08-042018-11-21日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en)2015-09-292018-05-01Asm Ip Holding B.V.Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en)2015-10-212019-02-19Asm Ip Holding B.V.NbMC layers
US10322384B2 (en)2015-11-092019-06-18Asm Ip Holding B.V.Counter flow mixer for process chamber
US11139308B2 (en)2015-12-292021-10-05Asm Ip Holding B.V.Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en)*2016-01-152017-07-20Taiwan Semiconductor Manufacturing Co., Ltd.Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (en)*2016-01-252017-06-07三菱電機株式会社 Control device
US10468251B2 (en)2016-02-192019-11-05Asm Ip Holding B.V.Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en)2016-02-192020-01-07Asm Ip Holding B.V.Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en)2016-03-092019-12-10Asm Ip Holding B.V.Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en)2016-03-182019-07-09Asm Ip Holding B.V.Aligned carbon nanotubes
US9892913B2 (en)2016-03-242018-02-13Asm Ip Holding B.V.Radial and thickness control via biased multi-port injection settings
US10190213B2 (en)2016-04-212019-01-29Asm Ip Holding B.V.Deposition of metal borides
US10865475B2 (en)2016-04-212020-12-15Asm Ip Holding B.V.Deposition of metal borides and silicides
US10367080B2 (en)2016-05-022019-07-30Asm Ip Holding B.V.Method of forming a germanium oxynitride film
US10032628B2 (en)2016-05-022018-07-24Asm Ip Holding B.V.Source/drain performance through conformal solid state doping
KR102592471B1 (en)2016-05-172023-10-20에이에스엠 아이피 홀딩 비.브이.Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en)2016-05-252022-09-27Asm Ip Holding B.V.Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en)2016-06-282019-08-20Asm Ip Holding B.V.Formation of epitaxial layers via dislocation filtering
US10612137B2 (en)2016-07-082020-04-07Asm Ip Holdings B.V.Organic reactants for atomic layer deposition
US9859151B1 (en)2016-07-082018-01-02Asm Ip Holding B.V.Selective film deposition method to form air gaps
US10714385B2 (en)2016-07-192020-07-14Asm Ip Holding B.V.Selective deposition of tungsten
KR102354490B1 (en)2016-07-272022-01-21에이에스엠 아이피 홀딩 비.브이.Method of processing a substrate
KR102532607B1 (en)2016-07-282023-05-15에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus and method of operating the same
US10395919B2 (en)2016-07-282019-08-27Asm Ip Holding B.V.Method and apparatus for filling a gap
US9812320B1 (en)2016-07-282017-11-07Asm Ip Holding B.V.Method and apparatus for filling a gap
US9887082B1 (en)2016-07-282018-02-06Asm Ip Holding B.V.Method and apparatus for filling a gap
KR102613349B1 (en)2016-08-252023-12-14에이에스엠 아이피 홀딩 비.브이.Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
FR3057391B1 (en)*2016-10-112019-03-29Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
US10410943B2 (en)2016-10-132019-09-10Asm Ip Holding B.V.Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en)2016-10-262020-05-05Asm Ip Holdings B.V.Methods for thermally calibrating reaction chambers
US11532757B2 (en)2016-10-272022-12-20Asm Ip Holding B.V.Deposition of charge trapping layers
US10714350B2 (en)2016-11-012020-07-14ASM IP Holdings, B.V.Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en)2016-11-012019-10-08Asm Ip Holding B.V.Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en)2016-11-012020-05-05Asm Ip Holdings B.V.Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en)2016-11-012019-03-12Asm Ip Holding B.V.Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en)2016-11-072018-11-20Asm Ip Holding B.V.Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en)2016-11-152023-06-21에이에스엠 아이피 홀딩 비.브이.Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en)2016-11-282019-07-02Asm Ip Holding B.V.Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102762543B1 (en)2016-12-142025-02-05에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus
US11581186B2 (en)2016-12-152023-02-14Asm Ip Holding B.V.Sequential infiltration synthesis apparatus
US11447861B2 (en)2016-12-152022-09-20Asm Ip Holding B.V.Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (en)2016-12-192024-08-28에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus
US10269558B2 (en)2016-12-222019-04-23Asm Ip Holding B.V.Method of forming a structure on a substrate
US10867788B2 (en)2016-12-282020-12-15Asm Ip Holding B.V.Method of forming a structure on a substrate
US11390950B2 (en)2017-01-102022-07-19Asm Ip Holding B.V.Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en)2017-02-092020-05-19Asm Ip Holding B.V.Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en)2017-02-152019-11-05Asm Ip Holding B.V.Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (en)*2017-02-172020-06-26가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing device, manufacturing method and program of semiconductor device
JP7158133B2 (en)2017-03-032022-10-21アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10283353B2 (en)2017-03-292019-05-07Asm Ip Holding B.V.Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en)2017-03-292020-01-07Asm Ip Holdings B.V.Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en)2017-04-032020-02-25Asm Ip Holding B.V.Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en)2017-04-252022-10-21에이에스엠 아이피 홀딩 비.브이.Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en)2017-05-082020-09-08Asm Ip Holdings B.V.Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en)2017-05-082021-01-12Asm Ip Holding B.V.Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en)2017-05-082019-10-15Asm Ip Holding B.V.Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en)2017-05-312019-12-10Asm Ip Holding B.V.Method of atomic layer etching using hydrogen plasma
US10886123B2 (en)2017-06-022021-01-05Asm Ip Holding B.V.Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en)2017-06-202024-07-16Asm Ip Holding B.V.Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en)2017-06-282022-04-19Asm Ip Holding B.V.Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en)2017-07-052020-06-16Asm Ip Holdings B.V.Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en)*2017-07-072018-07-11寶成工業股份有限公司 Smart oven
KR20190009245A (en)2017-07-182019-01-28에이에스엠 아이피 홀딩 비.브이.Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en)2017-07-192020-01-21Asm Ip Holding B.V.Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en)2017-07-192021-05-25Asm Ip Holding B.V.Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en)2017-07-192022-06-28Asm Ip Holding B.V.Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en)2017-07-262019-06-04Asm Ip Holding B.V.Method of depositing film by PEALD using negative bias
US10605530B2 (en)2017-07-262020-03-31Asm Ip Holding B.V.Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en)2017-07-262020-03-17Asm Ip Holdings B.V.Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI815813B (en)2017-08-042023-09-21荷蘭商Asm智慧財產控股公司Showerhead assembly for distributing a gas within a reaction chamber
US10770336B2 (en)2017-08-082020-09-08Asm Ip Holding B.V.Substrate lift mechanism and reactor including same
US10692741B2 (en)2017-08-082020-06-23Asm Ip Holdings B.V.Radiation shield
US11769682B2 (en)2017-08-092023-09-26Asm Ip Holding B.V.Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en)2017-08-092021-10-05Asm Ip Holding B.V.Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en)2017-08-092019-04-02Asm Ip Holding B.V.Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en)2017-08-242020-10-27Asm Ip Holding B.V.Heater electrical connector and adapter
US11830730B2 (en)2017-08-292023-11-28Asm Ip Holding B.V.Layer forming method and apparatus
US11056344B2 (en)2017-08-302021-07-06Asm Ip Holding B.V.Layer forming method
KR102491945B1 (en)2017-08-302023-01-26에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus
US11295980B2 (en)2017-08-302022-04-05Asm Ip Holding B.V.Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (en)2017-08-312022-05-24에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus
US10607895B2 (en)2017-09-182020-03-31Asm Ip Holdings B.V.Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en)2017-09-212024-01-29에이에스엠 아이피 홀딩 비.브이.Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en)2017-09-222020-11-24Asm Ip Holding B.V.Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en)2017-09-282020-05-19Asm Ip Holdings B.V.Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en)2017-10-052019-09-03Asm Ip Holding B.V.Method for selectively depositing a metallic film on a substrate
US10319588B2 (en)2017-10-102019-06-11Asm Ip Holding B.V.Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en)2017-10-302021-02-16Asm Ip Holding B.V.Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en)2017-11-162021-02-02Asm Ip Holding B.V.Method of selectively depositing a capping layer structure on a semiconductor device structure
JP7330181B2 (en)*2017-11-162023-08-21アプライド マテリアルズ インコーポレイテッド High-pressure steam annealing treatment equipment
KR102443047B1 (en)2017-11-162022-09-14에이에스엠 아이피 홀딩 비.브이.Method of processing a substrate and a device manufactured by the same
US11022879B2 (en)2017-11-242021-06-01Asm Ip Holding B.V.Method of forming an enhanced unexposed photoresist layer
CN111344522B (en)2017-11-272022-04-12阿斯莫Ip控股公司Including clean mini-environment device
WO2019103613A1 (en)2017-11-272019-05-31Asm Ip Holding B.V.A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en)2017-12-052019-05-14Asm Ip Holding B.V.Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en)2018-01-162020-12-22Asm Ip Holding B. V.Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en)2018-01-192023-04-21荷蘭商Asm 智慧財產控股公司Deposition method
KR102695659B1 (en)2018-01-192024-08-14에이에스엠 아이피 홀딩 비.브이. Method for depositing a gap filling layer by plasma assisted deposition
USD903477S1 (en)2018-01-242020-12-01Asm Ip Holdings B.V.Metal clamp
US11018047B2 (en)2018-01-252021-05-25Asm Ip Holding B.V.Hybrid lift pin
US10535516B2 (en)2018-02-012020-01-14Asm Ip Holdings B.V.Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en)2018-02-012020-04-07Asm Ip Holding B.V.Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en)2018-02-062021-08-03Asm Ip Holding B.V.Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en)2018-02-142019-08-22Asm Ip Holding B.V.A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en)2018-02-142021-01-19Asm Ip Holding B.V.Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en)2018-02-152020-08-04Asm Ip Holding B.V.Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en)2018-02-202024-02-13에이에스엠 아이피 홀딩 비.브이.Substrate processing method and apparatus
US10658181B2 (en)2018-02-202020-05-19Asm Ip Holding B.V.Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en)2018-02-232021-04-13Asm Ip Holding B.V.Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en)2018-03-012022-10-18Asm Ip Holding B.V.Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en)2018-03-092023-04-18Asm Ip Holding B.V.Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en)2018-03-162021-09-07Asm Ip Holding B.V.Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en)2018-03-272024-03-11에이에스엠 아이피 홀딩 비.브이.Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en)2018-03-292019-12-17Asm Ip Holding B.V.Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en)2018-03-292022-01-25Asm Ip Holding B.V.Substrate processing apparatus and method
US11088002B2 (en)2018-03-292021-08-10Asm Ip Holding B.V.Substrate rack and a substrate processing system and method
KR102501472B1 (en)2018-03-302023-02-20에이에스엠 아이피 홀딩 비.브이.Substrate processing method
KR102600229B1 (en)2018-04-092023-11-10에이에스엠 아이피 홀딩 비.브이.Substrate supporting device, substrate processing apparatus including the same and substrate processing method
US12025484B2 (en)2018-05-082024-07-02Asm Ip Holding B.V.Thin film forming method
TWI811348B (en)2018-05-082023-08-11荷蘭商Asm 智慧財產控股公司Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12272527B2 (en)2018-05-092025-04-08Asm Ip Holding B.V.Apparatus for use with hydrogen radicals and method of using same
KR20190129718A (en)2018-05-112019-11-20에이에스엠 아이피 홀딩 비.브이.Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en)2018-05-282023-10-31에이에스엠 아이피 홀딩 비.브이.Method of processing a substrate and a device manufactured by the same
TWI840362B (en)2018-06-042024-05-01荷蘭商Asm Ip私人控股有限公司Wafer handling chamber with moisture reduction
US11718913B2 (en)2018-06-042023-08-08Asm Ip Holding B.V.Gas distribution system and reactor system including same
US11286562B2 (en)2018-06-082022-03-29Asm Ip Holding B.V.Gas-phase chemical reactor and method of using same
US10797133B2 (en)2018-06-212020-10-06Asm Ip Holding B.V.Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en)2018-06-212023-08-21에이에스엠 아이피 홀딩 비.브이.Substrate processing system
TWI873894B (en)2018-06-272025-02-21荷蘭商Asm Ip私人控股有限公司Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102854019B1 (en)2018-06-272025-09-02에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming a metal-containing material and films and structures comprising the metal-containing material
KR102686758B1 (en)2018-06-292024-07-18에이에스엠 아이피 홀딩 비.브이.Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en)2018-06-292020-04-07ASM IP Holding, B.V.Temperature-controlled flange and reactor system including same
US10388513B1 (en)2018-07-032019-08-20Asm Ip Holding B.V.Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en)2018-07-032020-08-25Asm Ip Holding B.V.Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en)2018-07-162020-09-08Asm Ip Holding B.V.Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en)2018-07-262019-11-19Asm Ip Holding B.V.Method for forming thermally stable organosilicon polymer film
JP7206678B2 (en)*2018-07-302023-01-18Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11053591B2 (en)2018-08-062021-07-06Asm Ip Holding B.V.Multi-port gas injection system and reactor system including same
US10883175B2 (en)2018-08-092021-01-05Asm Ip Holding B.V.Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en)2018-08-162020-11-10Asm Ip Holding B.V.Gas distribution device for a wafer processing apparatus
US11430674B2 (en)2018-08-222022-08-30Asm Ip Holding B.V.Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en)2018-09-112021-06-01Asm Ip Holding B.V.Substrate processing apparatus and method
KR102707956B1 (en)2018-09-112024-09-19에이에스엠 아이피 홀딩 비.브이.Method for deposition of a thin film
US11049751B2 (en)2018-09-142021-06-29Asm Ip Holding B.V.Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344B (en)2018-10-012024-10-25Asmip控股有限公司Substrate holding apparatus, system comprising the same and method of using the same
US11232963B2 (en)*2018-10-032022-01-25Asm Ip Holding B.V.Substrate processing apparatus and method
KR102592699B1 (en)2018-10-082023-10-23에이에스엠 아이피 홀딩 비.브이.Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en)2018-10-112020-11-24Asm Ip Holding B.V.Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en)2018-10-162020-10-20Asm Ip Holding B.V.Method for etching a carbon-containing feature
KR102546322B1 (en)2018-10-192023-06-21에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus and substrate processing method
KR102605121B1 (en)2018-10-192023-11-23에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus and substrate processing method
USD948463S1 (en)2018-10-242022-04-12Asm Ip Holding B.V.Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en)2018-10-252019-08-13Asm Ip Holding B.V.Methods for forming a silicon nitride film
US12378665B2 (en)2018-10-262025-08-05Asm Ip Holding B.V.High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en)2018-10-312021-08-10Asm Ip Holding B.V.Substrate processing apparatus for processing substrates
KR102748291B1 (en)2018-11-022024-12-31에이에스엠 아이피 홀딩 비.브이.Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en)2018-11-062023-02-07Asm Ip Holding B.V.Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en)2018-11-072021-06-08Asm Ip Holding B.V.Methods for depositing a boron doped silicon germanium film
US10847366B2 (en)2018-11-162020-11-24Asm Ip Holding B.V.Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en)2018-11-162020-10-27Asm Ip Holding B.V.Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en)2018-11-262020-02-11Asm Ip Holding B.V.Method of forming oxynitride film
US12040199B2 (en)2018-11-282024-07-16Asm Ip Holding B.V.Substrate processing apparatus for processing substrates
US11217444B2 (en)2018-11-302022-01-04Asm Ip Holding B.V.Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en)2018-12-042024-02-13에이에스엠 아이피 홀딩 비.브이.A method for cleaning a substrate processing apparatus
US11158513B2 (en)2018-12-132021-10-26Asm Ip Holding B.V.Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TWI874340B (en)2018-12-142025-03-01荷蘭商Asm Ip私人控股有限公司Method of forming device structure, structure formed by the method and system for performing the method
JP7203588B2 (en)*2018-12-172023-01-13東京エレクトロン株式会社 Heat treatment equipment
TWI866480B (en)2019-01-172024-12-11荷蘭商Asm Ip 私人控股有限公司Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR102727227B1 (en)2019-01-222024-11-07에이에스엠 아이피 홀딩 비.브이.Semiconductor processing device
CN111524788B (en)2019-02-012023-11-24Asm Ip私人控股有限公司 Method for forming topologically selective films of silicon oxide
TWI838458B (en)2019-02-202024-04-11荷蘭商Asm Ip私人控股有限公司Apparatus and methods for plug fill deposition in 3-d nand applications
TWI845607B (en)2019-02-202024-06-21荷蘭商Asm Ip私人控股有限公司Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TWI873122B (en)2019-02-202025-02-21荷蘭商Asm Ip私人控股有限公司Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en)2019-02-202024-01-16에이에스엠 아이피 홀딩 비.브이.Cyclical deposition method including treatment step and apparatus for same
TWI842826B (en)2019-02-222024-05-21荷蘭商Asm Ip私人控股有限公司Substrate processing apparatus and method for processing substrate
KR102782593B1 (en)2019-03-082025-03-14에이에스엠 아이피 홀딩 비.브이.Structure Including SiOC Layer and Method of Forming Same
KR102858005B1 (en)2019-03-082025-09-09에이에스엠 아이피 홀딩 비.브이.Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en)2019-03-082023-08-29Asm Ip Holding B.V.Structure including SiOCN layer and method of forming same
JP2020167398A (en)2019-03-282020-10-08エーエスエム・アイピー・ホールディング・ベー・フェー Door openers and substrate processing equipment provided with door openers
KR102809999B1 (en)2019-04-012025-05-19에이에스엠 아이피 홀딩 비.브이.Method of manufacturing semiconductor device
KR20200123380A (en)2019-04-192020-10-29에이에스엠 아이피 홀딩 비.브이.Layer forming method and apparatus
KR20200125453A (en)2019-04-242020-11-04에이에스엠 아이피 홀딩 비.브이.Gas-phase reactor system and method of using same
US11289326B2 (en)2019-05-072022-03-29Asm Ip Holding B.V.Method for reforming amorphous carbon polymer film
KR20200130121A (en)2019-05-072020-11-18에이에스엠 아이피 홀딩 비.브이.Chemical source vessel with dip tube
KR20200130652A (en)2019-05-102020-11-19에이에스엠 아이피 홀딩 비.브이.Method of depositing material onto a surface and structure formed according to the method
JP7612342B2 (en)2019-05-162025-01-14エーエスエム・アイピー・ホールディング・ベー・フェー Wafer boat handling apparatus, vertical batch furnace and method
JP7598201B2 (en)2019-05-162024-12-11エーエスエム・アイピー・ホールディング・ベー・フェー Wafer boat handling apparatus, vertical batch furnace and method
USD947913S1 (en)2019-05-172022-04-05Asm Ip Holding B.V.Susceptor shaft
USD975665S1 (en)2019-05-172023-01-17Asm Ip Holding B.V.Susceptor shaft
USD935572S1 (en)2019-05-242021-11-09Asm Ip Holding B.V.Gas channel plate
USD922229S1 (en)2019-06-052021-06-15Asm Ip Holding B.V.Device for controlling a temperature of a gas supply unit
KR20200141002A (en)2019-06-062020-12-17에이에스엠 아이피 홀딩 비.브이.Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200141931A (en)2019-06-102020-12-21에이에스엠 아이피 홀딩 비.브이.Method for cleaning quartz epitaxial chambers
KR20200143254A (en)2019-06-112020-12-23에이에스엠 아이피 홀딩 비.브이.Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en)2019-06-142022-03-01Asm Ip Holding B.V.Shower plate
USD931978S1 (en)2019-06-272021-09-28Asm Ip Holding B.V.Showerhead vacuum transport
KR20210005515A (en)2019-07-032021-01-14에이에스엠 아이피 홀딩 비.브이.Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en)2019-07-092024-06-13エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en)2019-07-102021-01-12Asm Ip私人控股有限公司Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en)2019-07-162021-01-27에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus
KR102860110B1 (en)2019-07-172025-09-16에이에스엠 아이피 홀딩 비.브이.Methods of forming silicon germanium structures
KR20210010816A (en)2019-07-172021-01-28에이에스엠 아이피 홀딩 비.브이.Radical assist ignition plasma system and method
US11643724B2 (en)2019-07-182023-05-09Asm Ip Holding B.V.Method of forming structures using a neutral beam
TWI839544B (en)2019-07-192024-04-21荷蘭商Asm Ip私人控股有限公司Method of forming topology-controlled amorphous carbon polymer film
KR20210010817A (en)2019-07-192021-01-28에이에스엠 아이피 홀딩 비.브이.Method of Forming Topology-Controlled Amorphous Carbon Polymer Film
TWI851767B (en)2019-07-292024-08-11荷蘭商Asm Ip私人控股有限公司Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US12169361B2 (en)2019-07-302024-12-17Asm Ip Holding B.V.Substrate processing apparatus and method
CN112309900A (en)2019-07-302021-02-02Asm Ip私人控股有限公司Substrate processing apparatus
CN112309899A (en)2019-07-302021-02-02Asm Ip私人控股有限公司Substrate processing apparatus
US11587815B2 (en)2019-07-312023-02-21Asm Ip Holding B.V.Vertical batch furnace assembly
US11227782B2 (en)2019-07-312022-01-18Asm Ip Holding B.V.Vertical batch furnace assembly
US11587814B2 (en)2019-07-312023-02-21Asm Ip Holding B.V.Vertical batch furnace assembly
CN112323048B (en)2019-08-052024-02-09Asm Ip私人控股有限公司Liquid level sensor for chemical source container
CN112342526A (en)2019-08-092021-02-09Asm Ip私人控股有限公司Heater assembly including cooling device and method of using same
USD965524S1 (en)2019-08-192022-10-04Asm Ip Holding B.V.Susceptor support
USD965044S1 (en)2019-08-192022-09-27Asm Ip Holding B.V.Susceptor shaft
JP2021031769A (en)2019-08-212021-03-01エーエスエム アイピー ホールディング ビー.ブイ.Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en)2019-08-222022-04-19Asm Ip Holding B.V.Exhaust duct
USD979506S1 (en)2019-08-222023-02-28Asm Ip Holding B.V.Insulator
USD930782S1 (en)2019-08-222021-09-14Asm Ip Holding B.V.Gas distributor
USD940837S1 (en)2019-08-222022-01-11Asm Ip Holding B.V.Electrode
KR20210024423A (en)2019-08-222021-03-05에이에스엠 아이피 홀딩 비.브이.Method for forming a structure with a hole
KR20210024420A (en)2019-08-232021-03-05에이에스엠 아이피 홀딩 비.브이.Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en)2019-08-232022-03-29Asm Ip Holding B.V.Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102806450B1 (en)2019-09-042025-05-12에이에스엠 아이피 홀딩 비.브이.Methods for selective deposition using a sacrificial capping layer
KR102733104B1 (en)2019-09-052024-11-22에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus
US11407000B2 (en)2019-09-232022-08-09S. C. Johnson & Son, Inc.Volatile material dispenser
US11562901B2 (en)2019-09-252023-01-24Asm Ip Holding B.V.Substrate processing method
CN112593212B (en)2019-10-022023-12-22Asm Ip私人控股有限公司Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en)2019-10-082021-04-20에이에스엠 아이피 홀딩 비.브이.Reactor system including a gas distribution assembly for use with activated species and method of using same
TW202128273A (en)2019-10-082021-08-01荷蘭商Asm Ip私人控股有限公司Gas injection system, reactor system, and method of depositing material on surface of substratewithin reaction chamber
TWI846953B (en)2019-10-082024-07-01荷蘭商Asm Ip私人控股有限公司Substrate processing device
TWI846966B (en)2019-10-102024-07-01荷蘭商Asm Ip私人控股有限公司Method of forming a photoresist underlayer and structure including same
US12009241B2 (en)2019-10-142024-06-11Asm Ip Holding B.V.Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en)2019-10-162024-03-11荷蘭商Asm Ip私人控股有限公司Method of topology-selective film formation of silicon oxide
US11637014B2 (en)2019-10-172023-04-25Asm Ip Holding B.V.Methods for selective deposition of doped semiconductor material
KR102845724B1 (en)2019-10-212025-08-13에이에스엠 아이피 홀딩 비.브이.Apparatus and methods for selectively etching films
KR20210050453A (en)2019-10-252021-05-07에이에스엠 아이피 홀딩 비.브이.Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en)2019-10-292023-05-09Asm Ip Holding B.V.Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en)2019-11-052021-05-14에이에스엠 아이피 홀딩 비.브이.Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en)2019-11-152022-11-15Asm Ip Holding B.V.Method for providing a semiconductor device with silicon filled gaps
KR102861314B1 (en)2019-11-202025-09-17에이에스엠 아이피 홀딩 비.브이.Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697B (en)2019-11-262025-07-29Asmip私人控股有限公司Substrate processing apparatus
US11450529B2 (en)2019-11-262022-09-20Asm Ip Holding B.V.Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN120432376A (en)2019-11-292025-08-05Asm Ip私人控股有限公司Substrate processing apparatus
CN112885692B (en)2019-11-292025-08-15Asmip私人控股有限公司Substrate processing apparatus
JP7527928B2 (en)2019-12-022024-08-05エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing apparatus and substrate processing method
KR20210070898A (en)2019-12-042021-06-15에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus
KR20210078405A (en)2019-12-172021-06-28에이에스엠 아이피 홀딩 비.브이.Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en)2019-12-192021-06-30에이에스엠 아이피 홀딩 비.브이.Methods for filling a gap feature on a substrate and related semiconductor structures
WO2021126697A1 (en)2019-12-202021-06-24Applied Materials, Inc.Bake devices for handling and uniform baking of substrates
JP7636892B2 (en)2020-01-062025-02-27エーエスエム・アイピー・ホールディング・ベー・フェー Channeled Lift Pins
JP7730637B2 (en)2020-01-062025-08-28エーエスエム・アイピー・ホールディング・ベー・フェー Gas delivery assembly, components thereof, and reactor system including same
US11993847B2 (en)2020-01-082024-05-28Asm Ip Holding B.V.Injector
KR20210093163A (en)2020-01-162021-07-27에이에스엠 아이피 홀딩 비.브이.Method of forming high aspect ratio features
KR102675856B1 (en)2020-01-202024-06-17에이에스엠 아이피 홀딩 비.브이.Method of forming thin film and method of modifying surface of thin film
TWI889744B (en)2020-01-292025-07-11荷蘭商Asm Ip私人控股有限公司Contaminant trap system, and baffle plate stack
TW202513845A (en)2020-02-032025-04-01荷蘭商Asm Ip私人控股有限公司Semiconductor structures and methods for forming the same
KR20210100010A (en)2020-02-042021-08-13에이에스엠 아이피 홀딩 비.브이.Method and apparatus for transmittance measurements of large articles
US11776846B2 (en)2020-02-072023-10-03Asm Ip Holding B.V.Methods for depositing gap filling fluids and related systems and devices
TW202146691A (en)2020-02-132021-12-16荷蘭商Asm Ip私人控股有限公司Gas distribution assembly, shower plate assembly, and method of adjusting conductance of gas to reaction chamber
KR20210103956A (en)2020-02-132021-08-24에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus including light receiving device and calibration method of light receiving device
TWI855223B (en)2020-02-172024-09-11荷蘭商Asm Ip私人控股有限公司Method for growing phosphorous-doped silicon layer
CN113410160A (en)2020-02-282021-09-17Asm Ip私人控股有限公司System specially used for cleaning parts
KR20210113043A (en)2020-03-042021-09-15에이에스엠 아이피 홀딩 비.브이.Alignment fixture for a reactor system
KR20210116240A (en)2020-03-112021-09-27에이에스엠 아이피 홀딩 비.브이.Substrate handling device with adjustable joints
US11876356B2 (en)2020-03-112024-01-16Asm Ip Holding B.V.Lockout tagout assembly and system and method of using same
KR102775390B1 (en)2020-03-122025-02-28에이에스엠 아이피 홀딩 비.브이.Method for Fabricating Layer Structure Having Target Topological Profile
US12173404B2 (en)2020-03-172024-12-24Asm Ip Holding B.V.Method of depositing epitaxial material, structure formed using the method, and system for performing the method
KR102755229B1 (en)2020-04-022025-01-14에이에스엠 아이피 홀딩 비.브이.Thin film forming method
TWI887376B (en)2020-04-032025-06-21荷蘭商Asm Ip私人控股有限公司Method for manufacturing semiconductor device
TWI888525B (en)2020-04-082025-07-01荷蘭商Asm Ip私人控股有限公司Apparatus and methods for selectively etching silcon oxide films
KR20210127620A (en)2020-04-132021-10-22에이에스엠 아이피 홀딩 비.브이.method of forming a nitrogen-containing carbon film and system for performing the method
US11821078B2 (en)2020-04-152023-11-21Asm Ip Holding B.V.Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (en)2020-04-152021-10-26에이에스엠 아이피 홀딩 비.브이.Method of forming chromium nitride layer and structure including the chromium nitride layer
US11996289B2 (en)2020-04-162024-05-28Asm Ip Holding B.V.Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210130646A (en)2020-04-212021-11-01에이에스엠 아이피 홀딩 비.브이.Method for processing a substrate
KR20210132612A (en)2020-04-242021-11-04에이에스엠 아이피 홀딩 비.브이.Methods and apparatus for stabilizing vanadium compounds
CN113555279A (en)2020-04-242021-10-26Asm Ip私人控股有限公司 Methods of forming vanadium nitride-containing layers and structures comprising the same
KR20210132600A (en)2020-04-242021-11-04에이에스엠 아이피 홀딩 비.브이.Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202208671A (en)2020-04-242022-03-01荷蘭商Asm Ip私人控股有限公司Methods of forming structures including vanadium boride and vanadium phosphide layers
KR102866804B1 (en)2020-04-242025-09-30에이에스엠 아이피 홀딩 비.브이.Vertical batch furnace assembly comprising a cooling gas supply
KR102783898B1 (en)2020-04-292025-03-18에이에스엠 아이피 홀딩 비.브이.Solid source precursor vessel
KR20210134869A (en)2020-05-012021-11-11에이에스엠 아이피 홀딩 비.브이.Fast FOUP swapping with a FOUP handler
JP7726664B2 (en)2020-05-042025-08-20エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing system for processing a substrate
KR20210137395A (en)2020-05-072021-11-17에이에스엠 아이피 홀딩 비.브이.Apparatus and methods for performing an in-situ etch of reaction chambers with fluorine-based radicals
KR102788543B1 (en)2020-05-132025-03-27에이에스엠 아이피 홀딩 비.브이.Laser alignment fixture for a reactor system
TW202146699A (en)2020-05-152021-12-16荷蘭商Asm Ip私人控股有限公司Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system
KR20210143653A (en)2020-05-192021-11-29에이에스엠 아이피 홀딩 비.브이.Substrate processing apparatus
KR20210145079A (en)2020-05-212021-12-01에이에스엠 아이피 홀딩 비.브이.Flange and apparatus for processing substrates
KR102795476B1 (en)2020-05-212025-04-11에이에스엠 아이피 홀딩 비.브이.Structures including multiple carbon layers and methods of forming and using same
TWI873343B (en)2020-05-222025-02-21荷蘭商Asm Ip私人控股有限公司Reaction system for forming thin film on substrate
KR20210146802A (en)2020-05-262021-12-06에이에스엠 아이피 홀딩 비.브이.Method for depositing boron and gallium containing silicon germanium layers
TWI876048B (en)2020-05-292025-03-11荷蘭商Asm Ip私人控股有限公司Substrate processing device
TW202212620A (en)2020-06-022022-04-01荷蘭商Asm Ip私人控股有限公司Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate
TW202208659A (en)2020-06-162022-03-01荷蘭商Asm Ip私人控股有限公司Method for depositing boron containing silicon germanium layers
TW202218133A (en)2020-06-242022-05-01荷蘭商Asm Ip私人控股有限公司Method for forming a layer provided with silicon
TWI873359B (en)2020-06-302025-02-21荷蘭商Asm Ip私人控股有限公司Substrate processing method
US12431354B2 (en)2020-07-012025-09-30Asm Ip Holding B.V.Silicon nitride and silicon oxide deposition methods using fluorine inhibitor
TW202202649A (en)2020-07-082022-01-16荷蘭商Asm Ip私人控股有限公司Substrate processing method
KR20220010438A (en)2020-07-172022-01-25에이에스엠 아이피 홀딩 비.브이.Structures and methods for use in photolithography
TWI878570B (en)2020-07-202025-04-01荷蘭商Asm Ip私人控股有限公司Method and system for depositing molybdenum layers
KR20220011092A (en)2020-07-202022-01-27에이에스엠 아이피 홀딩 비.브이.Method and system for forming structures including transition metal layers
US12322591B2 (en)2020-07-272025-06-03Asm Ip Holding B.V.Thin film deposition process
KR20220021863A (en)2020-08-142022-02-22에이에스엠 아이피 홀딩 비.브이.Method for processing a substrate
US12040177B2 (en)2020-08-182024-07-16Asm Ip Holding B.V.Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202228863A (en)2020-08-252022-08-01荷蘭商Asm Ip私人控股有限公司Method for cleaning a substrate, method for selectively depositing, and reaction system
US11725280B2 (en)2020-08-262023-08-15Asm Ip Holding B.V.Method for forming metal silicon oxide and metal silicon oxynitride layers
TW202229601A (en)2020-08-272022-08-01荷蘭商Asm Ip私人控股有限公司Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system
TW202217045A (en)2020-09-102022-05-01荷蘭商Asm Ip私人控股有限公司Methods for depositing gap filing fluids and related systems and devices
USD990534S1 (en)2020-09-112023-06-27Asm Ip Holding B.V.Weighted lift pin
KR20220036866A (en)2020-09-162022-03-23에이에스엠 아이피 홀딩 비.브이.Silicon oxide deposition method
USD1012873S1 (en)2020-09-242024-01-30Asm Ip Holding B.V.Electrode for semiconductor processing apparatus
TWI889903B (en)2020-09-252025-07-11荷蘭商Asm Ip私人控股有限公司Semiconductor processing method
US12009224B2 (en)2020-09-292024-06-11Asm Ip Holding B.V.Apparatus and method for etching metal nitrides
KR20220045900A (en)2020-10-062022-04-13에이에스엠 아이피 홀딩 비.브이.Deposition method and an apparatus for depositing a silicon-containing material
CN114293174A (en)2020-10-072022-04-08Asm Ip私人控股有限公司Gas supply unit and substrate processing apparatus including the same
TW202229613A (en)2020-10-142022-08-01荷蘭商Asm Ip私人控股有限公司Method of depositing material on stepped structure
TW202232565A (en)2020-10-152022-08-16荷蘭商Asm Ip私人控股有限公司Method of manufacturing semiconductor device, and substrate treatment apparatus using ether-cat
TW202217037A (en)2020-10-222022-05-01荷蘭商Asm Ip私人控股有限公司Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en)2020-10-282022-06-16荷蘭商Asm Ip私人控股有限公司Method for forming layer on substrate, and semiconductor processing system
TW202229620A (en)2020-11-122022-08-01特文特大學Deposition system, method for controlling reaction condition, method for depositing
TW202229795A (en)2020-11-232022-08-01荷蘭商Asm Ip私人控股有限公司A substrate processing apparatus with an injector
TW202235649A (en)2020-11-242022-09-16荷蘭商Asm Ip私人控股有限公司Methods for filling a gap and related systems and devices
TW202235675A (en)2020-11-302022-09-16荷蘭商Asm Ip私人控股有限公司Injector, and substrate processing apparatus
US12255053B2 (en)2020-12-102025-03-18Asm Ip Holding B.V.Methods and systems for depositing a layer
TW202233884A (en)2020-12-142022-09-01荷蘭商Asm Ip私人控股有限公司Method of forming structures for threshold voltage control
US11946137B2 (en)2020-12-162024-04-02Asm Ip Holding B.V.Runout and wobble measurement fixtures
TW202232639A (en)2020-12-182022-08-16荷蘭商Asm Ip私人控股有限公司Wafer processing apparatus with a rotatable table
TW202226899A (en)2020-12-222022-07-01荷蘭商Asm Ip私人控股有限公司Plasma treatment device having matching box
TW202242184A (en)2020-12-222022-11-01荷蘭商Asm Ip私人控股有限公司Precursor capsule, precursor vessel, vapor deposition assembly, and method of loading solid precursor into precursor vessel
TW202231903A (en)2020-12-222022-08-16荷蘭商Asm Ip私人控股有限公司Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP7285276B2 (en)*2021-03-252023-06-01株式会社Kokusai Electric Cooling method, semiconductor device manufacturing method, and processing apparatus
GB2610156A (en)*2021-04-292023-03-01Edwards LtdSemiconductor processing system
FI129948B (en)*2021-05-102022-11-15Picosun OySubstrate processing apparatus and method
USD980813S1 (en)2021-05-112023-03-14Asm Ip Holding B.V.Gas flow control plate for substrate processing apparatus
USD981973S1 (en)2021-05-112023-03-28Asm Ip Holding B.V.Reactor wall for substrate processing apparatus
USD980814S1 (en)2021-05-112023-03-14Asm Ip Holding B.V.Gas distributor for substrate processing apparatus
USD1023959S1 (en)2021-05-112024-04-23Asm Ip Holding B.V.Electrode for substrate processing apparatus
USD990441S1 (en)2021-09-072023-06-27Asm Ip Holding B.V.Gas flow control plate
USD1060598S1 (en)2021-12-032025-02-04Asm Ip Holding B.V.Split showerhead cover
KR102444786B1 (en)*2021-12-232022-09-19주식회사 에이치피에스피High Pressure Chamber to Improve Cooling Efficiency
KR20250011634A (en)*2022-06-272025-01-21가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing device, substrate processing method and semiconductor device manufacturing method
CN114990299B (en)*2022-08-012022-10-04兴化市天泰合金制品科技有限公司Heat treatment device for preparing nodular cast iron alloy
TWI858804B (en)*2022-12-012024-10-11家登精密工業股份有限公司Measuring apparatus for wafer carrier

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US4401689A (en)*1980-01-311983-08-30Rca CorporationRadiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en)*1984-06-041987-07-29Texas Instruments IncorporatedVertical hot wall cvd reactor
JPS61191015A (en)*1985-02-201986-08-25Hitachi Ltd Semiconductor vapor phase growth method and device
US4753192A (en)*1987-01-081988-06-28Btu Engineering CorporationMovable core fast cool-down furnace
EP0306967B1 (en)*1987-09-111997-04-16Hitachi, Ltd.Apparatus for performing heat treatment on semiconductor wafers
JPH088220B2 (en)*1988-09-051996-01-29株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
EP0308946B1 (en)*1987-09-221993-11-24Nec CorporationChemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4787844A (en)*1987-12-021988-11-29Gas Research InstituteSeal arrangement for high temperature furnace applications
US4914276A (en)*1988-05-121990-04-03Princeton Scientific Enterprises, Inc.Efficient high temperature radiant furnace
JP2654996B2 (en)*1988-08-171997-09-17東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en)*1988-11-111990-05-18Tel Sagami LtdAccommodation jig
US5160545A (en)*1989-02-031992-11-03Applied Materials, Inc.Method and apparatus for epitaxial deposition
DE3906075A1 (en)*1989-02-271990-08-30Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en)*1989-02-281993-05-04Moore Epitaxial, Inc.High capacity epitaxial reactor
US5127365A (en)*1990-02-271992-07-07Kabushiki Kaisha ToshibaVertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en)*1991-04-251998-10-30東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en)*1991-09-052000-05-15株式会社東芝 Vapor phase growth equipment
WO1993023713A1 (en)*1992-05-151993-11-25Shin-Etsu Quartz Products Co., Ltd.Vertical heat treatment apparatus and heat insulating material
US5383984A (en)*1992-06-171995-01-24Tokyo Electron LimitedPlasma processing apparatus etching tunnel-type
JP3024449B2 (en)*1993-07-242000-03-21ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en)*1994-02-021998-01-13Tetra Laval Holdings & Finance, S.A.Control system for a packaging machine
JPH088194A (en)*1994-06-161996-01-12Kishimoto Sangyo KkGas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en)*1994-07-202002-03-26Applied Materials, Inc.Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en)*1994-09-281998-03-10Tetra Laval Holdings & Finance S.A.Control system having error correcting apparatus
JP2732224B2 (en)*1994-09-301998-03-25信越半導体株式会社 Wafer support boat
JPH08213446A (en)*1994-12-081996-08-20Tokyo Electron LtdProcessing equipment
US5830277A (en)*1995-05-261998-11-03Mattson Technology, Inc.Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2001524259A (en)*1995-07-102001-11-27シーヴィシー、プラダクツ、インク Programmable ultra-clean electromagnetic substrate rotating apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en)*1995-09-062003-11-25東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en)*1995-11-202003-07-07東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en)*1996-05-171997-11-28Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en)*1997-02-212001-12-20Masayoshi IkedaMethod of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en)*1997-03-071998-12-08Semitool, Inc.Semiconductor furnace processing vessel base
US5826406A (en)*1997-05-011998-10-27Tetra Laval Holdings & Finance, S.A.Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en)*1997-06-111999-05-04Eaton CorporationFurnace sidewall temperature control system
US6352594B2 (en)*1997-08-112002-03-05TorrexMethod and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en)*1998-01-151999-07-22Torrex Equipment CorporationVertical plasma enhanced process apparatus and method
US6204194B1 (en)*1998-01-162001-03-20F.T.L. Co., Ltd.Method and apparatus for producing a semiconductor device
US6059567A (en)*1998-02-102000-05-09Silicon Valley Group, Inc.Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en)*1998-04-272000-04-18Cvc Products, Inc.Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en)*1998-06-092000-02-29Semitool, Inc.Thermal processor
DE69940161D1 (en)*1998-06-182009-02-05Kline & Walker L L C AUTOMATIC DEVICE FOR MONITORING EQUIPPED OPTIONS AND MACHINES WORLDWIDE
JP3487497B2 (en)*1998-06-242004-01-19岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en)*2000-04-242003-03-25Hitachi, Ltd.Process for treating solid surface and substrate surface
US6140833A (en)*1998-11-162000-10-31Siemens AktiengesellschaftIn-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en)*1998-12-112002-09-10Mattson Technology Corp.Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en)*1999-03-032001-02-27Applied Materials, Inc.Method for improved chamber bake-out and cool-down
US6450116B1 (en)*1999-04-222002-09-17Applied Materials, Inc.Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en)*1999-04-282000-11-07Kokusai Electric Co Ltd Substrate processing equipment
US6121581A (en)*1999-07-092000-09-19Applied Materials, Inc.Semiconductor processing system
US6391163B1 (en)*1999-09-272002-05-21Applied Materials, Inc.Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en)*2000-01-212003-05-12株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en)*2000-03-072002-06-13Applied Materials, Inc.Temperature controlled semiconductor processing chamber liner
US6537707B1 (en)*2000-03-152003-03-25Agilent Technologies, Inc.Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en)*2000-04-172003-11-04Hitachi Kokusai Electric Inc.Dual loading port semiconductor processing equipment
JP2002083780A (en)*2000-09-052002-03-22Hitachi Kokusai Electric Inc Semiconductor manufacturing equipment
US6589350B1 (en)*2000-09-082003-07-08Advanced Micro Devices, Inc.Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en)*2001-10-302003-05-01Olivier VatelWafer handling device and method for testing wafers
JP4873820B2 (en)*2002-04-012012-02-08株式会社エフティーエル Semiconductor device manufacturing equipment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
TWI677037B (en)*2014-06-172019-11-11美商蘭姆研究公司Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly

Also Published As

Publication numberPublication date
WO2004008494A2 (en)2004-01-22
WO2004008008A2 (en)2004-01-22
AU2003259104A1 (en)2004-02-02
WO2004008008A3 (en)2004-12-16
WO2004007318A2 (en)2004-01-22
WO2004007318A3 (en)2004-08-05
AU2003249029A8 (en)2004-02-02
AU2003259104A8 (en)2004-02-02
TW200409176A (en)2004-06-01
AU2003253874A8 (en)2004-02-02
TW200416775A (en)2004-09-01
EP1522090A2 (en)2005-04-13
WO2004008493A9 (en)2004-07-22
AU2003249030A1 (en)2004-02-02
AU2003249029A1 (en)2004-02-02
WO2004008491A2 (en)2004-01-22
TW200411717A (en)2004-07-01
TW200419890A (en)2004-10-01
WO2004008054A1 (en)2004-01-22
WO2004008491A3 (en)2004-06-03
TW200416773A (en)2004-09-01
TW200406818A (en)2004-05-01
WO2004008052A2 (en)2004-01-22
AU2003253874A1 (en)2004-02-02
WO2004007105A1 (en)2004-01-22
WO2004008054A9 (en)2005-01-13
WO2004008493A3 (en)2004-05-27
EP1540258A1 (en)2005-06-15
WO2004008052A3 (en)2004-05-13
AU2003253873A1 (en)2004-02-02
AU2003253907A1 (en)2004-02-02
AU2003256486A8 (en)2004-02-02
CN1643322A (en)2005-07-20
EP1522090A4 (en)2006-04-05
WO2004008493A2 (en)2004-01-22
WO2004007800A9 (en)2005-01-13
JP2005533232A (en)2005-11-04
WO2004007800A1 (en)2004-01-22
WO2004008494A3 (en)2005-04-21
AU2003253907A8 (en)2004-02-02
AU2003256486A1 (en)2004-02-02
TW200405401A (en)2004-04-01
TW200416774A (en)2004-09-01
AU2003249030A8 (en)2004-02-02
JP2005533378A (en)2005-11-04
AU2003249028A1 (en)2004-02-02
AU2003256487A1 (en)2004-02-02

Similar Documents

PublicationPublication DateTitle
TW200411960A (en)Variable heater element for low to high temperature ranges
US11049742B2 (en)Substrate processing apparatus, method of manufacturing semiconductor device, and thermocouple support
JP4365017B2 (en) Method for controlling temperature drop rate of heat treatment apparatus and heat treatment apparatus
CN101490491B (en)Device and method for heating semiconductor processing chamber
US6727194B2 (en)Wafer batch processing system and method
JPH03108323A (en)Heating method for heater assembly and substrate
KR101241933B1 (en)Heat treatment apparatus and method of manufacturing semicunductor device
US20030087215A1 (en)Gas-assisted rapid thermal processing
CN104008954A (en)Substrate heat treatment apparatus and method
JP2012023073A (en)Substrate processing device and method for manufacturing substrate
US6508062B2 (en)Thermal exchanger for a wafer chuck
US20060083495A1 (en)Variable heater element for low to high temperature ranges
JP2011021253A (en)Film deposition system
JP2002530847A (en) Heat treatment apparatus, system and method for treating semiconductor substrate
JP2011029597A (en)Method of manufacturing semiconductor device, method of manufacturing substrate, and substrate treatment apparatus
TW200407946A (en)Forced convection assisted rapid thermal furnace
JP2014096453A (en)Heat treatment apparatus
JP2008311587A (en) Substrate processing equipment
JP2010053393A (en)Substrate processing apparatus
JP2005032883A (en) Substrate processing equipment
EP1540708A2 (en)Batch furnace
JP4509360B2 (en) Heat treatment method
KR20060040894A (en) Furnace for Heat Treatment Process
JP2009111025A (en) Substrate processing apparatus and semiconductor device manufacturing method

[8]ページ先頭

©2009-2025 Movatter.jp