| US3576755A (en) | 1964-09-24 | 1971-04-27 | American Cyanamid Co | Photochromism in plastic film containing inorganic materials |
| US3442648A (en) | 1965-06-16 | 1969-05-06 | American Cyanamid Co | Photographic dodging method |
| US3513010A (en) | 1966-07-11 | 1970-05-19 | Kalvar Corp | Conversion foil |
| US3529963A (en) | 1966-08-23 | 1970-09-22 | Du Pont | Image-yielding elements and processes |
| US3720515A (en) | 1971-10-20 | 1973-03-13 | Trw Inc | Microelectronic circuit production |
| JPS5119974A (en) | 1974-08-12 | 1976-02-17 | Fujitsu Ltd | Kibanjoheno pataanno sentakukeiseiho |
| US4341592A (en) | 1975-08-04 | 1982-07-27 | Texas Instruments Incorporated | Method for removing photoresist layer from substrate by ozone treatment |
| US4061829A (en) | 1976-04-26 | 1977-12-06 | Bell Telephone Laboratories, Incorporated | Negative resist for X-ray and electron beam lithography and method of using same |
| US4292384A (en) | 1977-09-30 | 1981-09-29 | Horizons Research Incorporated | Gaseous plasma developing and etching process employing low voltage DC generation |
| US4241165A (en) | 1978-09-05 | 1980-12-23 | Motorola, Inc. | Plasma development process for photoresist |
| US4328298A (en) | 1979-06-27 | 1982-05-04 | The Perkin-Elmer Corporation | Process for manufacturing lithography masks |
| US4396704A (en) | 1981-04-22 | 1983-08-02 | Bell Telephone Laboratories, Incorporated | Solid state devices produced by organometallic plasma developed resists |
| JPS58108744A (en) | 1981-12-23 | 1983-06-28 | Mitsubishi Electric Corp | Integrated circuit manufacturing method |
| JPS6074626A (en) | 1983-09-30 | 1985-04-26 | Fujitsu Ltd | Wafer processing method and equipment |
| JPS60115222A (en) | 1983-11-28 | 1985-06-21 | Tokyo Ohka Kogyo Co Ltd | Ultra-fine pattern formation |
| JPS6112653U (en) | 1984-06-25 | 1986-01-24 | 日本電気株式会社 | Bakyu whip |
| JPS61234035A (en) | 1985-03-29 | 1986-10-18 | Fujitsu Ltd | Far ultraviolet irradiation dry development method |
| JPS62160981A (en) | 1986-01-08 | 1987-07-16 | Mitsubishi Heavy Ind Ltd | Reconstruction method for oil tanker |
| JPH0778629B2 (en) | 1986-12-19 | 1995-08-23 | ミノルタ株式会社 | Positive resist film and method for forming resist pattern thereof |
| US5077085A (en) | 1987-03-06 | 1991-12-31 | Schnur Joel M | High resolution metal patterning of ultra-thin films on solid substrates |
| US4824763A (en) | 1987-07-30 | 1989-04-25 | Ekc Technology, Inc. | Triamine positive photoresist stripping composition and prebaking process |
| US4814243A (en) | 1987-09-08 | 1989-03-21 | American Telephone And Telegraph Company | Thermal processing of photoresist materials |
| US4834834A (en) | 1987-11-20 | 1989-05-30 | Massachusetts Institute Of Technology | Laser photochemical etching using surface halogenation |
| US4845053A (en) | 1988-01-25 | 1989-07-04 | John Zajac | Flame ashing process for stripping photoresist |
| KR920004176B1 (en) | 1988-03-16 | 1992-05-30 | 후지쓰 가부시끼가이샤 | Resist Pattern Forming Process |
| US4940854A (en) | 1988-07-13 | 1990-07-10 | Minnesota Mining And Manufacturing Company | Organic thin film controlled molecular epitaxy |
| US5094936A (en) | 1988-09-16 | 1992-03-10 | Texas Instruments Incorporated | High pressure photoresist silylation process and apparatus |
| EP0465064B1 (en) | 1990-06-29 | 1998-12-09 | Fujitsu Limited | Process for forming patterns |
| JPH04226462A (en) | 1990-06-29 | 1992-08-17 | Fujitsu Ltd | Resist material and resist pattern formation method using the same |
| KR960000375B1 (en) | 1991-01-22 | 1996-01-05 | 가부시끼가이샤 도시바 | Fabricating method of semiconductor device |
| US5322765A (en) | 1991-11-22 | 1994-06-21 | International Business Machines Corporation | Dry developable photoresist compositions and method for use thereof |
| US6013418A (en) | 1992-04-29 | 2000-01-11 | Lucent Technologies Inc. | Method for developing images in energy sensitive materials |
| GEP20002074B (en) | 1992-05-19 | 2000-05-10 | Westaim Tech Inc Ca | Modified Material and Method for its Production |
| JPH0637050A (en) | 1992-07-14 | 1994-02-10 | Oki Electric Ind Co Ltd | Dry-etching device for semiconductor wafer |
| JP2601112B2 (en) | 1992-11-30 | 1997-04-16 | 日本電気株式会社 | Method for manufacturing semiconductor device |
| JPH06232041A (en) | 1993-02-05 | 1994-08-19 | Hitachi Ltd | Formation of pattern |
| KR960010727B1 (en) | 1993-06-03 | 1996-08-07 | 현대전자산업 주식회사 | Method of removing photoresist for semiconductor manufacturing |
| EP0635884A1 (en) | 1993-07-13 | 1995-01-25 | Siemens Aktiengesellschaft | Method for forming a trench in a substrate and application to smart-power-technology |
| TW276353B (en) | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
| JPH07106224A (en) | 1993-10-01 | 1995-04-21 | Hitachi Ltd | Pattern formation method |
| JP3309095B2 (en) | 1994-08-30 | 2002-07-29 | 株式会社日立製作所 | Dry developing method and semiconductor device manufacturing method |
| US5534312A (en) | 1994-11-14 | 1996-07-09 | Simon Fraser University | Method for directly depositing metal containing patterned films |
| JP3258199B2 (en) | 1995-05-24 | 2002-02-18 | 沖電気工業株式会社 | Semiconductor device pattern forming method |
| JPH08339950A (en) | 1995-06-09 | 1996-12-24 | Sony Corp | Photoresist pattern formation and photoresist treatment device |
| US6007963A (en) | 1995-09-21 | 1999-12-28 | Sandia Corporation | Method for extreme ultraviolet lithography |
| US20020031920A1 (en) | 1996-01-16 | 2002-03-14 | Lyding Joseph W. | Deuterium treatment of semiconductor devices |
| US5925494A (en) | 1996-02-16 | 1999-07-20 | Massachusetts Institute Of Technology | Vapor deposition of polymer films for photolithography |
| US5761023A (en) | 1996-04-25 | 1998-06-02 | Applied Materials, Inc. | Substrate support with pressure zones having reduced contact area and temperature feedback |
| US6313035B1 (en) | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
| JPH1041206A (en) | 1996-07-19 | 1998-02-13 | Toshiba Corp | Semiconductor processing apparatus and processing method |
| US5914278A (en) | 1997-01-23 | 1999-06-22 | Gasonics International | Backside etch process chamber and method |
| JPH10209133A (en) | 1997-01-28 | 1998-08-07 | Toshiba Corp | Plasma ashing apparatus and plasma ashing method |
| US6261938B1 (en) | 1997-02-12 | 2001-07-17 | Quantiscript, Inc. | Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography |
| US6149828A (en) | 1997-05-05 | 2000-11-21 | Micron Technology, Inc. | Supercritical etching compositions and method of using same |
| JP3411559B2 (en) | 1997-07-28 | 2003-06-03 | マサチューセッツ・インスティチュート・オブ・テクノロジー | Pyrolytic chemical vapor deposition of silicone films. |
| MY132894A (en)* | 1997-08-25 | 2007-10-31 | Ibm | Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof |
| US6057587A (en) | 1997-08-28 | 2000-05-02 | Vlsi Technology, Inc. | Semiconductor device with anti-reflective structure |
| KR100265766B1 (en) | 1997-09-04 | 2000-09-15 | 윤종용 | Method of reworking wafer for semiconductor device and of manufacturing semiconductor device |
| US6290779B1 (en) | 1998-06-12 | 2001-09-18 | Tokyo Electron Limited | Systems and methods for dry cleaning process chambers |
| US6348239B1 (en) | 2000-04-28 | 2002-02-19 | Simon Fraser University | Method for depositing metal and metal oxide films and patterned films |
| US6179922B1 (en) | 1998-07-10 | 2001-01-30 | Ball Semiconductor, Inc. | CVD photo resist deposition |
| WO2000015868A1 (en) | 1998-09-16 | 2000-03-23 | Torrex Equipment Corporation | High rate silicon deposition method at low pressures |
| JP2000305273A (en) | 1998-11-19 | 2000-11-02 | Applied Materials Inc | Deep UV dry photolithography |
| KR100520670B1 (en) | 1999-05-06 | 2005-10-10 | 주식회사 하이닉스반도체 | A Process for Forming Photoresist Pattern |
| JP2000356857A (en) | 1999-06-15 | 2000-12-26 | Toshiba Electronic Engineering Corp | Pattern forming equipment |
| US6582891B1 (en) | 1999-12-02 | 2003-06-24 | Axcelis Technologies, Inc. | Process for reducing edge roughness in patterned photoresist |
| US20010024769A1 (en) | 2000-02-08 | 2001-09-27 | Kevin Donoghue | Method for removing photoresist and residues from semiconductor device surfaces |
| US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
| US20040191423A1 (en) | 2000-04-28 | 2004-09-30 | Ruan Hai Xiong | Methods for the deposition of silver and silver oxide films and patterned films |
| US20060001064A1 (en) | 2000-04-28 | 2006-01-05 | Hill Ross H | Methods for the lithographic deposition of ferroelectric materials |
| KR100406174B1 (en) | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | Showerhead used chemically enhanced chemical vapor deposition equipment |
| WO2002020864A2 (en) | 2000-06-16 | 2002-03-14 | Applied Materials, Inc. | System and method for depositing high dielectric constant materials and compatible conductive materials |
| KR100620651B1 (en) | 2000-06-22 | 2006-09-13 | 주식회사 하이닉스반도체 | Manufacturing method of fine pattern of semiconductor device |
| JP2002015971A (en) | 2000-06-27 | 2002-01-18 | Matsushita Electric Ind Co Ltd | Pattern forming method and semiconductor device manufacturing apparatus |
| KR100398312B1 (en) | 2000-06-30 | 2003-09-19 | 한국과학기술원 | Organometal-containing norbornene monomer, photoresist containing its polymer, manufacturing methods thereof, and method of forming photoresist patterns |
| JP2002134402A (en) | 2000-08-15 | 2002-05-10 | Tokyo Electron Ltd | Substrate processing method and device thereof |
| US6645677B1 (en) | 2000-09-18 | 2003-11-11 | Micronic Laser Systems Ab | Dual layer reticle blank and manufacturing process |
| JP2002100558A (en) | 2000-09-26 | 2002-04-05 | Nikon Corp | Thick film resist coating method |
| JP2002118096A (en) | 2000-10-06 | 2002-04-19 | Sony Corp | Ashing apparatus |
| US6368924B1 (en) | 2000-10-31 | 2002-04-09 | Motorola, Inc. | Amorphous carbon layer for improved adhesion of photoresist and method of fabrication |
| WO2002054416A1 (en) | 2000-12-28 | 2002-07-11 | Nissan Chemical Industries, Ltd. | Method for patterning electroconductive tin oxide film |
| US6596641B2 (en) | 2001-03-01 | 2003-07-22 | Micron Technology, Inc. | Chemical vapor deposition methods |
| US6797439B1 (en) | 2001-03-30 | 2004-09-28 | Schott Lithotec Ag | Photomask with back-side anti-reflective layer and method of manufacture |
| US6686132B2 (en) | 2001-04-20 | 2004-02-03 | The Regents Of The University Of California | Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake |
| US6933673B2 (en) | 2001-04-27 | 2005-08-23 | Semiconductor Energy Laboratory Co., Ltd. | Luminescent device and process of manufacturing the same |
| US20020185067A1 (en) | 2001-06-07 | 2002-12-12 | International Business Machines Corporation | Apparatus and method for in-situ cleaning of a throttle valve in a CVD system |
| US6926957B2 (en) | 2001-06-29 | 2005-08-09 | 3M Innovative Properties Company | Water-based ink-receptive coating |
| US6448097B1 (en) | 2001-07-23 | 2002-09-10 | Advanced Micro Devices Inc. | Measure fluorescence from chemical released during trim etch |
| DE10138105A1 (en) | 2001-08-03 | 2003-02-27 | Infineon Technologies Ag | Photoresist and method for structuring such a photoresist |
| JP2003213001A (en) | 2001-11-13 | 2003-07-30 | Sekisui Chem Co Ltd | Photoreactive composition |
| JP2003280155A (en) | 2002-03-22 | 2003-10-02 | Fuji Photo Film Co Ltd | Automatic developing device |
| US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
| JP3806702B2 (en) | 2002-04-11 | 2006-08-09 | Hoya株式会社 | REFLECTIVE MASK BLANK, REFLECTIVE MASK, MANUFACTURING METHOD THEREOF, AND SEMICONDUCTOR MANUFACTURING METHOD |
| US7390596B2 (en) | 2002-04-11 | 2008-06-24 | Hoya Corporation | Reflection type mask blank and reflection type mask and production methods for them |
| US7169440B2 (en) | 2002-04-16 | 2007-01-30 | Tokyo Electron Limited | Method for removing photoresist and etch residues |
| DE10219173A1 (en) | 2002-04-30 | 2003-11-20 | Philips Intellectual Property | Process for the generation of extreme ultraviolet radiation |
| US6841943B2 (en) | 2002-06-27 | 2005-01-11 | Lam Research Corp. | Plasma processor with electrode simultaneously responsive to plural frequencies |
| US20050142885A1 (en) | 2002-08-30 | 2005-06-30 | Tokyo Electron Limited | Method of etching and etching apparatus |
| KR101101698B1 (en) | 2002-10-21 | 2011-12-30 | 나노잉크, 인크. | Nanometer-level controlled structures, methods and apparatus for their fabrication, and their application to mask repair, reinforcement, and fabrication |
| US6624127B1 (en) | 2002-11-15 | 2003-09-23 | Intel Corporation | Highly polar cleans for removal of residues from semiconductor structures |
| JP4153783B2 (en) | 2002-12-09 | 2008-09-24 | 株式会社東芝 | X-ray flat panel detector |
| JP4325301B2 (en) | 2003-01-31 | 2009-09-02 | 東京エレクトロン株式会社 | Mounting table, processing apparatus, and processing method |
| JP2004259786A (en) | 2003-02-24 | 2004-09-16 | Canon Inc | Exposure equipment |
| EP1609175A1 (en) | 2003-03-31 | 2005-12-28 | Tokyo Electron Limited | Method and apparatus for multilayer photoresist dry development |
| US20040203256A1 (en) | 2003-04-08 | 2004-10-14 | Seagate Technology Llc | Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication |
| JP4640177B2 (en)* | 2003-09-24 | 2011-03-02 | 日立化成工業株式会社 | Photosensitive element, resist pattern forming method, and printed wiring board manufacturing method |
| GB0323805D0 (en) | 2003-10-10 | 2003-11-12 | Univ Southampton | Synthesis of germanium sulphide and related compounds |
| JP4313749B2 (en) | 2003-10-10 | 2009-08-12 | エーエスエムエル ネザーランズ ビー.ブイ. | Method for placing a substrate on a support member and substrate handler |
| US7126128B2 (en) | 2004-02-13 | 2006-10-24 | Kabushiki Kaisha Toshiba | Flat panel x-ray detector |
| JP4459666B2 (en) | 2004-03-12 | 2010-04-28 | 株式会社半導体エネルギー研究所 | Removal equipment |
| CN101053063B (en) | 2004-09-01 | 2012-10-03 | 艾克塞利斯技术公司 | Apparatus and plasma ashing method for increasing photoresist removal rate |
| US20060068173A1 (en) | 2004-09-30 | 2006-03-30 | Ebara Corporation | Methods for forming and patterning of metallic films |
| JP2006253282A (en) | 2005-03-09 | 2006-09-21 | Ebara Corp | Pattern forming method of metal film |
| US20060128127A1 (en) | 2004-12-13 | 2006-06-15 | Jung-Hun Seo | Method of depositing a metal compound layer and apparatus for depositing a metal compound layer |
| US7885387B2 (en) | 2004-12-17 | 2011-02-08 | Osaka University | Extreme ultraviolet light and X-ray source target and manufacturing method thereof |
| KR100601979B1 (en) | 2004-12-30 | 2006-07-18 | 삼성전자주식회사 | Semiconductor Wafer Baking Apparatus |
| KR100607201B1 (en) | 2005-01-04 | 2006-08-01 | 삼성전자주식회사 | How to Correct Critical Dimensional Deviation on Wafer in Extreme Ultraviolet Lithography Process |
| US7381633B2 (en) | 2005-01-27 | 2008-06-03 | Hewlett-Packard Development Company, L.P. | Method of making a patterned metal oxide film |
| US7365026B2 (en) | 2005-02-01 | 2008-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | CxHy sacrificial layer for cu/low-k interconnects |
| US7868304B2 (en) | 2005-02-07 | 2011-01-11 | Asml Netherlands B.V. | Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby |
| US7608367B1 (en)* | 2005-04-22 | 2009-10-27 | Sandia Corporation | Vitreous carbon mask substrate for X-ray lithography |
| JP2006310681A (en) | 2005-05-02 | 2006-11-09 | Dainippon Screen Mfg Co Ltd | Substrate processing method and apparatus thereof |
| TWI338171B (en) | 2005-05-02 | 2011-03-01 | Au Optronics Corp | Display device and wiring structure and method for forming the same |
| KR100705416B1 (en) | 2005-06-15 | 2007-04-10 | 삼성전자주식회사 | Composition for removing photoresist, method for manufacturing same, method for removing photoresist using same and method for manufacturing semiconductor device |
| US7691559B2 (en) | 2005-06-30 | 2010-04-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Immersion lithography edge bead removal |
| JP4530933B2 (en) | 2005-07-21 | 2010-08-25 | 大日本スクリーン製造株式会社 | Substrate heat treatment equipment |
| US7482280B2 (en) | 2005-08-15 | 2009-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming a lithography pattern |
| JP4530980B2 (en) | 2005-08-26 | 2010-08-25 | 東京応化工業株式会社 | Film forming material and pattern forming method |
| US7909960B2 (en) | 2005-09-27 | 2011-03-22 | Lam Research Corporation | Apparatus and methods to remove films on bevel edge and backside of wafer |
| US8664124B2 (en) | 2005-10-31 | 2014-03-04 | Novellus Systems, Inc. | Method for etching organic hardmasks |
| JP5055743B2 (en)* | 2005-11-04 | 2012-10-24 | セントラル硝子株式会社 | A fluorine-containing polymer coating composition, a method for forming a fluorine-containing polymer film using the coating composition, and a method for forming a photoresist or a lithography pattern. |
| US20070117040A1 (en) | 2005-11-21 | 2007-05-24 | International Business Machines Corporation | Water castable-water strippable top coats for 193 nm immersion lithography |
| KR100891779B1 (en) | 2005-11-28 | 2009-04-07 | 허니웰 인터내셔날 인코포레이티드 | Organometallic precursors and related intermediates for deposition processes, their production and methods of use |
| JP2007207530A (en) | 2006-01-31 | 2007-08-16 | Toshiba Corp | Anisotropic conductive film, X-ray flat panel detector, infrared flat panel detector and display device using the same |
| US7662718B2 (en) | 2006-03-09 | 2010-02-16 | Micron Technology, Inc. | Trim process for critical dimension control for integrated circuits |
| US7682659B1 (en) | 2006-04-10 | 2010-03-23 | The Regents Of The University Of California | Fabrication of suspended carbon micro and nanoscale structures |
| WO2007123539A1 (en) | 2006-04-20 | 2007-11-01 | Dover Electronics Inc. | Coating for harsh environments and sensors using same |
| KR100721206B1 (en) | 2006-05-04 | 2007-05-23 | 주식회사 하이닉스반도체 | Storage node contact formation method of semiconductor device |
| US20070287073A1 (en) | 2006-06-07 | 2007-12-13 | Francis Goodwin | Lithography systems and methods |
| KR20090025389A (en) | 2006-07-10 | 2009-03-10 | 픽셀리전트 테크놀로지스 엘엘씨 | Resist for Photolithography |
| US7534627B2 (en) | 2006-08-07 | 2009-05-19 | Sokudo Co., Ltd. | Methods and systems for controlling critical dimensions in track lithography tools |
| US7718542B2 (en) | 2006-08-25 | 2010-05-18 | Lam Research Corporation | Low-k damage avoidance during bevel etch processing |
| US7771895B2 (en) | 2006-09-15 | 2010-08-10 | Applied Materials, Inc. | Method of etching extreme ultraviolet light (EUV) photomasks |
| JP2008091215A (en) | 2006-10-02 | 2008-04-17 | Nitto Kasei Co Ltd | Tin oxide film former, tin oxide film formation method using it, and tin oxide film formed by it |
| JP4428717B2 (en) | 2006-11-14 | 2010-03-10 | 東京エレクトロン株式会社 | Substrate processing method and substrate processing system |
| JP5132920B2 (en) | 2006-11-22 | 2013-01-30 | 東京エレクトロン株式会社 | Coating / developing apparatus, substrate transport method, and computer program |
| US20100086880A1 (en) | 2007-01-17 | 2010-04-08 | Sony Corporation | Developing solution and method for production of finely patterned material |
| KR101392291B1 (en)* | 2007-04-13 | 2014-05-07 | 주식회사 동진쎄미켐 | Photoresist composition and method of manufacturing a thin-film transistor substrate using the same |
| US8105660B2 (en) | 2007-06-28 | 2012-01-31 | Andrew W Tudhope | Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component |
| EP2203943A4 (en) | 2007-10-12 | 2015-10-14 | Omnipv Inc | SOLAR MODULES WITH IMPROVED YIELD USING SPECTRAL CONCENTRATORS |
| US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
| KR100921932B1 (en) | 2007-10-25 | 2009-10-15 | 포항공과대학교 산학협력단 | Patterning method using polyatomic molecules |
| SG153748A1 (en) | 2007-12-17 | 2009-07-29 | Asml Holding Nv | Lithographic method and apparatus |
| WO2009080610A1 (en)* | 2007-12-20 | 2009-07-02 | Nv Bekaert Sa | A substrate coated with amorphous hydrogenated carbon |
| US20090197086A1 (en) | 2008-02-04 | 2009-08-06 | Sudha Rathi | Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography |
| CN102084468B (en) | 2008-02-08 | 2014-10-29 | 朗姆研究公司 | Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non-contact particle seal |
| JP4978501B2 (en) | 2008-02-14 | 2012-07-18 | 日本電気株式会社 | Thermal infrared detector and method for manufacturing the same |
| US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
| JP5017147B2 (en) | 2008-03-06 | 2012-09-05 | 東京エレクトロン株式会社 | Substrate processing method, program, computer storage medium, and substrate processing system |
| US7985513B2 (en) | 2008-03-18 | 2011-07-26 | Advanced Micro Devices, Inc. | Fluorine-passivated reticles for use in lithography and methods for fabricating the same |
| US20090286402A1 (en) | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
| US20090286397A1 (en) | 2008-05-15 | 2009-11-19 | Lam Research Corporation | Selective inductive double patterning |
| JP2009294439A (en) | 2008-06-05 | 2009-12-17 | Toshiba Corp | Resist pattern forming method |
| JP5171422B2 (en) | 2008-06-19 | 2013-03-27 | ルネサスエレクトロニクス株式会社 | Photosensitive composition, pattern forming method using the same, and method for producing semiconductor element |
| US20090321707A1 (en) | 2008-06-25 | 2009-12-31 | Matthew Metz | Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films |
| US20090325387A1 (en) | 2008-06-26 | 2009-12-31 | Applied Materials, Inc. | Methods and apparatus for in-situ chamber dry clean during photomask plasma etching |
| JP5391594B2 (en) | 2008-07-02 | 2014-01-15 | 富士通セミコンダクター株式会社 | Manufacturing method of semiconductor device |
| JP4966922B2 (en) | 2008-07-07 | 2012-07-04 | 東京エレクトロン株式会社 | Resist processing apparatus, resist coating and developing apparatus, and resist processing method |
| JP5541159B2 (en) | 2008-07-14 | 2014-07-09 | 旭硝子株式会社 | Reflective mask blank for EUV lithography and reflective mask for EUV lithography |
| JP2011529126A (en) | 2008-07-24 | 2011-12-01 | コヴィオ インコーポレイテッド | Aluminum ink and method for producing the same, method for depositing aluminum ink, and film formed by printing and / or depositing aluminum ink |
| US8435723B2 (en) | 2008-09-11 | 2013-05-07 | Nikon Corporation | Pattern forming method and device production method |
| JP5194129B2 (en) | 2008-10-14 | 2013-05-08 | 旭化成株式会社 | Thermally reactive resist material, laminate for thermal lithography using the same, and method for producing a mold using them |
| US8105954B2 (en) | 2008-10-20 | 2012-01-31 | aiwan Semiconductor Manufacturing Company, Ltd. | System and method of vapor deposition |
| JP5225815B2 (en) | 2008-11-19 | 2013-07-03 | 東京エレクトロン株式会社 | Interface device, method for transporting substrate, and computer-readable storage medium |
| US7977235B2 (en) | 2009-02-02 | 2011-07-12 | Tokyo Electron Limited | Method for manufacturing a semiconductor device with metal-containing cap layers |
| JP4880004B2 (en) | 2009-02-06 | 2012-02-22 | 東京エレクトロン株式会社 | Substrate processing system |
| JP2010239087A (en) | 2009-03-31 | 2010-10-21 | Tokyo Electron Ltd | Substrate supporting device and method of supporting substrate |
| JP5193121B2 (en) | 2009-04-17 | 2013-05-08 | 東京エレクトロン株式会社 | Resist coating and development method |
| US8114306B2 (en) | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
| US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
| US20100310790A1 (en) | 2009-06-09 | 2010-12-09 | Nanya Technology Corporation | Method of forming carbon-containing layer |
| WO2011040385A1 (en) | 2009-09-29 | 2011-04-07 | 東京エレクトロン株式会社 | PROCESS FOR PRODUCTION OF Ni FILM |
| US8247332B2 (en) | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
| CN102656517B (en) | 2009-12-28 | 2014-05-14 | 旭硝子株式会社 | Photosensitive composition, partition wall, color filter and organic EL element |
| JP5544914B2 (en) | 2010-02-15 | 2014-07-09 | 大日本印刷株式会社 | Method for manufacturing a reflective mask |
| JP5003773B2 (en) | 2010-02-15 | 2012-08-15 | 東京エレクトロン株式会社 | Developing device, developing method, and storage medium |
| US8178439B2 (en) | 2010-03-30 | 2012-05-15 | Tokyo Electron Limited | Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices |
| US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
| KR20130115085A (en) | 2010-04-30 | 2013-10-21 | 어플라이드 머티어리얼스, 인코포레이티드 | Amorphous carbon deposition method for improved stack defectivity |
| US9176377B2 (en) | 2010-06-01 | 2015-11-03 | Inpria Corporation | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
| JP5392190B2 (en) | 2010-06-01 | 2014-01-22 | 東京エレクトロン株式会社 | Substrate processing system and substrate processing method |
| US8138097B1 (en) | 2010-09-20 | 2012-03-20 | Kabushiki Kaisha Toshiba | Method for processing semiconductor structure and device based on the same |
| US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
| TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
| US8470711B2 (en) | 2010-11-23 | 2013-06-25 | International Business Machines Corporation | Tone inversion with partial underlayer etch for semiconductor device formation |
| US8183500B2 (en) | 2010-12-03 | 2012-05-22 | Uvtech Systems, Inc. | Orthogonal beam delivery system for wafer edge processing |
| US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
| JP5572560B2 (en) | 2011-01-05 | 2014-08-13 | 東京エレクトロン株式会社 | Film forming apparatus, substrate processing system, substrate processing method, and semiconductor device manufacturing method |
| US8836082B2 (en) | 2011-01-31 | 2014-09-16 | Brewer Science Inc. | Reversal lithography approach by selective deposition of nanoparticles |
| US8778816B2 (en) | 2011-02-04 | 2014-07-15 | Applied Materials, Inc. | In situ vapor phase surface activation of SiO2 |
| JP5708522B2 (en) | 2011-02-15 | 2015-04-30 | 信越化学工業株式会社 | Resist material and pattern forming method using the same |
| JP5842338B2 (en) | 2011-02-17 | 2016-01-13 | セイコーエプソン株式会社 | Tunable interference filter, optical module, and electronic device |
| WO2012118847A2 (en) | 2011-02-28 | 2012-09-07 | Inpria Corportion | Solution processible hardmarks for high resolusion lithography |
| FR2975823B1 (en) | 2011-05-27 | 2014-11-21 | Commissariat Energie Atomique | METHOD FOR MAKING A PATTERN ON THE SURFACE OF A BLOCK OF A SUBSTRATE USING BLOCK COPOLYMERS |
| KR101295791B1 (en) | 2011-05-31 | 2013-08-09 | 세메스 주식회사 | substrate processing apparatus and substrate processing method |
| WO2012173699A1 (en) | 2011-06-15 | 2012-12-20 | Applied Materials, Inc. | Methods and apparatus for performing multiple photoresist layer development and etching processes |
| CN103649830B (en) | 2011-07-08 | 2018-06-01 | Asml荷兰有限公司 | Photolithographic patterning process and the resists used in it |
| US8741775B2 (en) | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
| CN102610516B (en) | 2011-07-22 | 2015-01-21 | 上海华力微电子有限公司 | Method for improving adhesion force between photoresist and metal/metallic compound surface |
| EP2587518B1 (en) | 2011-10-31 | 2018-12-19 | IHI Hauzer Techno Coating B.V. | Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece |
| US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
| JP6592243B2 (en) | 2011-11-21 | 2019-10-16 | ブルーワー サイエンス アイ エヌ シー. | Assist layer for EUV lithography |
| JP5798102B2 (en)* | 2011-11-29 | 2015-10-21 | 信越化学工業株式会社 | Silicon-containing resist underlayer film forming composition and pattern forming method |
| US8809994B2 (en) | 2011-12-09 | 2014-08-19 | International Business Machines Corporation | Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate |
| US20130177847A1 (en) | 2011-12-12 | 2013-07-11 | Applied Materials, Inc. | Photoresist for improved lithographic control |
| US8691476B2 (en) | 2011-12-16 | 2014-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | EUV mask and method for forming the same |
| EP2608247A1 (en) | 2011-12-21 | 2013-06-26 | Imec | EUV photoresist encapsulation |
| JP5705103B2 (en) | 2011-12-26 | 2015-04-22 | 株式会社東芝 | Pattern formation method |
| JP5919896B2 (en) | 2011-12-28 | 2016-05-18 | 住友ベークライト株式会社 | Cured film processing method and semiconductor device manufacturing method |
| US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
| KR101920711B1 (en) | 2012-01-16 | 2018-11-22 | 삼성전자주식회사 | Thin film patterning method and manufacturing method of semiconductor device using the same |
| SG193093A1 (en) | 2012-02-13 | 2013-09-30 | Novellus Systems Inc | Method for etching organic hardmasks |
| CN103243310B (en) | 2012-02-14 | 2017-04-12 | 诺发系统公司 | Method for plasma activated conformal film deposition on substrate surface |
| US8703386B2 (en) | 2012-02-27 | 2014-04-22 | International Business Machines Corporation | Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications |
| JP6083537B2 (en)* | 2012-03-23 | 2017-02-22 | 日産化学工業株式会社 | Composition for forming resist underlayer film for EUV lithography |
| US9048294B2 (en) | 2012-04-13 | 2015-06-02 | Applied Materials, Inc. | Methods for depositing manganese and manganese nitrides |
| WO2013172359A1 (en) | 2012-05-14 | 2013-11-21 | コニカミノルタ株式会社 | Gas barrier film, manufacturing method for gas barrier film, and electronic device |
| SG195494A1 (en) | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
| JP6034598B2 (en) | 2012-05-31 | 2016-11-30 | ギガフォトン株式会社 | Cleaning method for EUV light generation apparatus |
| KR102207992B1 (en) | 2012-10-23 | 2021-01-26 | 램 리써치 코포레이션 | Sub-saturated atomic layer deposition and conformal film deposition |
| SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
| US8969997B2 (en) | 2012-11-14 | 2015-03-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structures and methods of forming the same |
| US8927989B2 (en) | 2012-11-28 | 2015-01-06 | International Business Machines Corporation | Voltage contrast inspection of deep trench isolation |
| US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
| US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
| WO2014094103A1 (en) | 2012-12-18 | 2014-06-26 | Seastar Chemicals Inc. | Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers |
| JP5913077B2 (en) | 2012-12-18 | 2016-04-27 | 信越化学工業株式会社 | Positive resist material and pattern forming method using the same |
| JP6134522B2 (en) | 2013-01-30 | 2017-05-24 | 株式会社ニューフレアテクノロジー | Vapor growth apparatus and vapor growth method |
| JP6068171B2 (en) | 2013-02-04 | 2017-01-25 | 株式会社日立ハイテクノロジーズ | Sample processing method and sample processing apparatus |
| US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
| CN105190847A (en) | 2013-03-08 | 2015-12-23 | 应用材料公司 | Chamber component with protective coating suitable for protection against fluorine plasma |
| US9607904B2 (en) | 2013-03-11 | 2017-03-28 | Intermolecular, Inc. | Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices |
| US9223220B2 (en) | 2013-03-12 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photo resist baking in lithography process |
| US9632411B2 (en) | 2013-03-14 | 2017-04-25 | Applied Materials, Inc. | Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor |
| WO2014159427A1 (en) | 2013-03-14 | 2014-10-02 | Applied Materials, Inc | Resist hardening and development processes for semiconductor device manufacturing |
| US10953441B2 (en) | 2013-03-15 | 2021-03-23 | Kla Corporation | System and method for cleaning optical surfaces of an extreme ultraviolet optical system |
| US10074544B2 (en) | 2013-04-23 | 2018-09-11 | Massachusetts Institute Of Technology | Developer free positive tone lithography by thermal direct write |
| JP6242095B2 (en) | 2013-06-28 | 2017-12-06 | 株式会社日立国際電気 | Cleaning method, semiconductor device manufacturing method, substrate processing apparatus, and program |
| US20150020848A1 (en) | 2013-07-19 | 2015-01-22 | Lam Research Corporation | Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning |
| US9310684B2 (en) | 2013-08-22 | 2016-04-12 | Inpria Corporation | Organometallic solution based high resolution patterning compositions |
| US9372402B2 (en) | 2013-09-13 | 2016-06-21 | The Research Foundation For The State University Of New York | Molecular organometallic resists for EUV |
| US9405204B2 (en) | 2013-09-18 | 2016-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of overlay in extreme ultra-violet (EUV) lithography |
| KR102317541B1 (en)* | 2013-10-07 | 2021-10-27 | 닛산 가가쿠 가부시키가이샤 | Metal-containing resist underlayer film-forming composition containing polyacid |
| JP6255210B2 (en)* | 2013-10-24 | 2017-12-27 | アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ | Resist underlayer film forming composition |
| US9653319B2 (en) | 2013-11-08 | 2017-05-16 | Tokyo Electron Limited | Method for using post-processing methods for accelerating EUV lithography |
| JP5917477B2 (en) | 2013-11-29 | 2016-05-18 | 株式会社日立国際電気 | Substrate processing apparatus, semiconductor device manufacturing method, and program |
| US9305839B2 (en) | 2013-12-19 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Curing photo resist for improving etching selectivity |
| US9324606B2 (en) | 2014-01-09 | 2016-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned repairing process for barrier layer |
| TWI639179B (en) | 2014-01-31 | 2018-10-21 | 美商蘭姆研究公司 | Vacuum integrated hard mask process and equipment |
| TWI661072B (en) | 2014-02-04 | 2019-06-01 | 荷蘭商Asm Ip控股公司 | Selective deposition of metals, metal oxides, and dielectrics |
| JP6364361B2 (en) | 2014-02-21 | 2018-07-25 | 東京エレクトロン株式会社 | Photosensitized chemically amplified resist material, pattern forming method using the same, semiconductor device, lithography mask, and nanoimprint template manufacturing method |
| JP6758575B2 (en) | 2014-02-24 | 2020-09-23 | 東京エレクトロン株式会社 | Photosensitive Chemical Amplification Resist Methods and Techniques Using Chemicals and Processes |
| KR102233577B1 (en) | 2014-02-25 | 2021-03-30 | 삼성전자주식회사 | Method for forming patterns of a semiconductor device |
| JP6519753B2 (en) | 2014-02-26 | 2019-05-29 | 日産化学株式会社 | Resist upper layer film forming composition and method of manufacturing semiconductor device using the same |
| US10685846B2 (en) | 2014-05-16 | 2020-06-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor integrated circuit fabrication with pattern-reversing process |
| US9377692B2 (en) | 2014-06-10 | 2016-06-28 | Applied Materials, Inc. | Electric/magnetic field guided acid diffusion |
| JP2017521715A (en) | 2014-07-08 | 2017-08-03 | 東京エレクトロン株式会社 | Negative tone developer compatible photoresist composition and method of use |
| GB201412201D0 (en) | 2014-07-09 | 2014-08-20 | Isis Innovation | Two-step deposition process |
| US20160041471A1 (en) | 2014-08-07 | 2016-02-11 | International Business Machines Corporation | Acidified conductive water for developer residue removal |
| JP6456967B2 (en) | 2014-09-02 | 2019-01-23 | 富士フイルム株式会社 | PATTERN FORMING METHOD, ELECTRONIC DEVICE MANUFACTURING METHOD, RESIST COMPOSITION, AND RESIST FILM |
| JP6572899B2 (en) | 2014-09-17 | 2019-09-11 | Jsr株式会社 | Pattern formation method |
| US20160086864A1 (en) | 2014-09-24 | 2016-03-24 | Lam Research Corporation | Movable gas nozzle in drying module |
| JP6314779B2 (en) | 2014-10-01 | 2018-04-25 | 東京エレクトロン株式会社 | Liquid processing method, storage medium, and liquid processing apparatus |
| WO2016065120A1 (en) | 2014-10-23 | 2016-04-28 | Inpria Corporation | Organometallic solution based high resolution patterning compositions and corresponding methods |
| US9609730B2 (en) | 2014-11-12 | 2017-03-28 | Lam Research Corporation | Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
| US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
| US9551924B2 (en) | 2015-02-12 | 2017-01-24 | International Business Machines Corporation | Structure and method for fixing phase effects on EUV mask |
| EP3268997A1 (en) | 2015-03-09 | 2018-01-17 | Versum Materials US, LLC | Process for depositing porous organosilicate glass films for use as resistive random access memory |
| JP6404757B2 (en) | 2015-03-27 | 2018-10-17 | 信越化学工業株式会社 | Polymer for resist underlayer film material, resist underlayer film material, and pattern forming method |
| CN107567650A (en) | 2015-04-02 | 2018-01-09 | 东京毅力科创株式会社 | The groove and sectional hole patterns carried out using Dual Frequency Capacitive coupled plasma (CCP) with EUV resists |
| US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
| US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
| DE102015208492A1 (en) | 2015-05-07 | 2016-11-10 | Reiner Diefenbach | Repository for the storage of radioactive material, and method for its production |
| JP6494417B2 (en) | 2015-05-20 | 2019-04-03 | 株式会社ディスコ | Plasma etching equipment |
| US9829790B2 (en) | 2015-06-08 | 2017-11-28 | Applied Materials, Inc. | Immersion field guided exposure and post-exposure bake process |
| US9659771B2 (en) | 2015-06-11 | 2017-05-23 | Applied Materials, Inc. | Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning |
| US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
| JP6817692B2 (en) | 2015-08-27 | 2021-01-20 | 東京エレクトロン株式会社 | Plasma processing method |
| US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
| EP4089482A1 (en) | 2015-10-13 | 2022-11-16 | Inpria Corporation | Organotin oxide hydroxide patterning compositions, precursors, and patterning |
| US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
| US9996004B2 (en) | 2015-11-20 | 2018-06-12 | Lam Research Corporation | EUV photopatterning of vapor-deposited metal oxide-containing hardmasks |
| WO2017090745A1 (en) | 2015-11-25 | 2017-06-01 | 国立大学法人大阪大学 | Resist-pattern formation method and resist material |
| JP6603115B2 (en) | 2015-11-27 | 2019-11-06 | 信越化学工業株式会社 | Silicon-containing condensate, silicon-containing resist underlayer film forming composition, and pattern forming method |
| US10503070B2 (en) | 2015-12-10 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photosensitive material and method of lithography |
| JP6517678B2 (en) | 2015-12-11 | 2019-05-22 | 株式会社Screenホールディングス | Method of manufacturing electronic device |
| CN108700815B (en) | 2015-12-23 | 2024-03-19 | Asml荷兰有限公司 | Method for removing photosensitive material on substrate |
| US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
| JP6742748B2 (en) | 2016-02-17 | 2020-08-19 | 株式会社Screenホールディングス | Developing unit, substrate processing apparatus, developing method and substrate processing method |
| US10018920B2 (en) | 2016-03-04 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography patterning with a gas phase resist |
| GB201603988D0 (en)* | 2016-03-08 | 2016-04-20 | Semblant Ltd | Plasma deposition method |
| TWI721125B (en) | 2016-03-11 | 2021-03-11 | 美商因普利亞公司 | Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates |
| US11315798B2 (en) | 2016-04-08 | 2022-04-26 | Intel Corporation | Two-stage bake photoresist with releasable quencher |
| CN109154777A (en) | 2016-04-28 | 2019-01-04 | 三菱瓦斯化学株式会社 | Resist lower membrane, which is formed, uses composition, lower layer film for lithography and pattern forming method |
| CN109154772B (en) | 2016-05-19 | 2023-11-07 | Asml荷兰有限公司 | Resist composition |
| US10378105B2 (en) | 2016-05-31 | 2019-08-13 | Tokyo Electron Limited | Selective deposition with surface treatment |
| EP3258317B1 (en) | 2016-06-16 | 2022-01-19 | IMEC vzw | Method for performing extreme ultra violet (euv) lithography |
| US9824893B1 (en) | 2016-06-28 | 2017-11-21 | Lam Research Corporation | Tin oxide thin film spacers in semiconductor device manufacturing |
| WO2018004551A1 (en) | 2016-06-28 | 2018-01-04 | Intel Corporation | Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography |
| WO2018004646A1 (en) | 2016-07-01 | 2018-01-04 | Intel Corporation | Metal oxide resist materials |
| US10866516B2 (en) | 2016-08-05 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-compound-removing solvent and method in lithography |
| JP2018025686A (en) | 2016-08-10 | 2018-02-15 | 株式会社リコー | Field effect transistor manufacturing method, alignment method, and exposure apparatus |
| TWI759147B (en)* | 2016-08-12 | 2022-03-21 | 美商因普利亞公司 | Methods of reducing metal residue in edge bead region from metal-containing resists |
| US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
| WO2018061670A1 (en) | 2016-09-29 | 2018-04-05 | 富士フイルム株式会社 | Processing solution, and method for processing laminate |
| KR101966808B1 (en) | 2016-09-30 | 2019-04-08 | 세메스 주식회사 | Anhydrous substrate cleaning compositions, substrate cleaning method and substrate treating apparatus |
| KR102614850B1 (en) | 2016-10-05 | 2023-12-18 | 삼성전자주식회사 | Method of manufacuturing semiconductor device |
| US10755942B2 (en) | 2016-11-02 | 2020-08-25 | Massachusetts Institute Of Technology | Method of forming topcoat for patterning |
| US10510538B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing EUV-induced material property changes |
| US10520821B2 (en) | 2016-11-29 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography process with enhanced etch selectivity |
| JP6781031B2 (en) | 2016-12-08 | 2020-11-04 | 東京エレクトロン株式会社 | Substrate processing method and heat treatment equipment |
| US9929012B1 (en) | 2016-12-14 | 2018-03-27 | International Business Machines Corporation | Resist having tuned interface hardmask layer for EUV exposure |
| US10866511B2 (en) | 2016-12-15 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet photolithography method with developer composition |
| US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
| KR102047538B1 (en)* | 2017-02-03 | 2019-11-21 | 삼성에스디아이 주식회사 | Resist underlayer composition, and method of forming patterns using the composition |
| KR102722138B1 (en) | 2017-02-13 | 2024-10-24 | 램 리써치 코포레이션 | Method to create air gaps |
| US10096477B2 (en) | 2017-02-15 | 2018-10-09 | International Business Machines Corporation | Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography |
| WO2018173446A1 (en) | 2017-03-22 | 2018-09-27 | Jsr株式会社 | Pattern forming method |
| JP2020095068A (en) | 2017-03-31 | 2020-06-18 | 富士フイルム株式会社 | Pattern forming method and method for manufacturing electronic device |
| US20180308687A1 (en) | 2017-04-24 | 2018-10-25 | Lam Research Corporation | Euv photopatterning and selective deposition for negative pattern mask |
| KR102030056B1 (en) | 2017-05-02 | 2019-11-11 | 세메스 주식회사 | Method for cleaning a chamber, Method for treating a substrate, and Apparatus for treating a substrate |
| US10553409B2 (en) | 2017-05-12 | 2020-02-04 | Tokyo Electron Limited | Method of cleaning plasma processing apparatus |
| US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
| CN108962986B (en) | 2017-05-18 | 2021-07-06 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device and method of manufacturing the same |
| JP6852566B2 (en) | 2017-05-26 | 2021-03-31 | 大日本印刷株式会社 | Pattern forming method, uneven structure manufacturing method, replica mold manufacturing method, resist pattern reformer and pattern forming system |
| US10745282B2 (en) | 2017-06-08 | 2020-08-18 | Applied Materials, Inc. | Diamond-like carbon film |
| CA2975104A1 (en) | 2017-08-02 | 2019-02-02 | Seastar Chemicals Inc. | Organometallic compounds and methods for the deposition of high purity tin oxide |
| US10545408B2 (en)* | 2017-08-18 | 2020-01-28 | Varian Semiconductor Equipment Associates, Inc. | Performance improvement of EUV photoresist by ion implantation |
| JP6579173B2 (en) | 2017-09-19 | 2019-09-25 | セイコーエプソン株式会社 | Electro-optical device, driving method of electro-optical device, and electronic apparatus |
| US10763083B2 (en) | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
| US20190131130A1 (en) | 2017-10-31 | 2019-05-02 | Lam Research Corporation | Etching metal oxide substrates using ale and selective deposition |
| KR102067081B1 (en) | 2017-11-01 | 2020-01-16 | 삼성에스디아이 주식회사 | Resist underlayer composition, and method of forming patterns using the composition |
| TWI719360B (en) | 2017-11-20 | 2021-02-21 | 美商英培雅股份有限公司 | Organotin clusters, solutions of organotin clusters, and application to high resolution patterning |
| US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
| JP7326275B2 (en)* | 2017-12-01 | 2023-08-15 | アプライド マテリアルズ インコーポレイテッド | Amorphous carbon film with high etching selectivity |
| WO2019111727A1 (en) | 2017-12-06 | 2019-06-13 | Jsr株式会社 | Radiation-sensitive composition and resist pattern formation method |
| KR102632799B1 (en) | 2017-12-18 | 2024-02-01 | 도쿄엘렉트론가부시키가이샤 | Plasma treatment method to enhance surface adhesion for lithography |
| US10347486B1 (en) | 2017-12-19 | 2019-07-09 | International Business Machines Corporation | Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography |
| US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
| KR102540963B1 (en)* | 2017-12-27 | 2023-06-07 | 삼성전자주식회사 | Method of forming a micropattern and substrate processing apparatus |
| KR20190085654A (en) | 2018-01-11 | 2019-07-19 | 삼성전자주식회사 | Method for manufacturing semiconductor device |
| JP7005369B2 (en) | 2018-02-05 | 2022-01-21 | キオクシア株式会社 | Manufacturing method of chemical coating device and semiconductor device |
| CN111712765A (en) | 2018-02-13 | 2020-09-25 | Asml荷兰有限公司 | Cleaning structural surfaces in EUV chambers |
| CN111742262B (en) | 2018-02-22 | 2024-11-26 | 株式会社大赛璐 | Substrate hydrophilization agent |
| US11450513B2 (en) | 2018-03-30 | 2022-09-20 | Lam Research Corporation | Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials |
| TWI875109B (en) | 2018-04-05 | 2025-03-01 | 美商英培雅股份有限公司 | Composition comprising tin compound and uses of the same |
| US10787466B2 (en) | 2018-04-11 | 2020-09-29 | Inpria Corporation | Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods |
| US11673903B2 (en) | 2018-04-11 | 2023-06-13 | Inpria Corporation | Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods |
| JP7101036B2 (en) | 2018-04-26 | 2022-07-14 | 東京エレクトロン株式会社 | Treatment liquid supply device and treatment liquid supply method |
| EP3786710A4 (en)* | 2018-04-27 | 2021-06-23 | Mitsubishi Gas Chemical Company, Inc. | COMPOSITION FORMING RESIST UNDERLAYER FILM, UNDERLAYER FILM FOR LITHOGRAPHY AND PATTERN MAKING METHOD |
| US20190348292A1 (en)* | 2018-05-10 | 2019-11-14 | International Business Machines Corporation | Transferring euv resist pattern to eliminate pattern transfer defectivity |
| EP3791231A4 (en) | 2018-05-11 | 2022-01-26 | Lam Research Corporation | Methods for making euv patternable hard masks |
| KR20200142601A (en) | 2018-05-16 | 2020-12-22 | 어플라이드 머티어리얼스, 인코포레이티드 | Atomic layer self-aligned substrate processing and integrated toolset |
| WO2019230462A1 (en) | 2018-05-29 | 2019-12-05 | 東京エレクトロン株式会社 | Substrate processing method, substrate processing device, and computer-readable recording medium |
| KR102211158B1 (en) | 2018-06-08 | 2021-02-01 | 삼성에스디아이 주식회사 | Semiconductor resist composition, and method of forming patterns using the composition |
| WO2019241402A1 (en) | 2018-06-13 | 2019-12-19 | Brewer Science, Inc. | Adhesion layers for euv lithography |
| US11054742B2 (en)* | 2018-06-15 | 2021-07-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | EUV metallic resist performance enhancement via additives |
| US11393703B2 (en) | 2018-06-18 | 2022-07-19 | Applied Materials, Inc. | Apparatus and method for controlling a flow process material to a deposition chamber |
| KR20240129107A (en) | 2018-06-21 | 2024-08-27 | 인프리아 코포레이션 | Stable solutions of monoalkyl tin alkoxides and their hydrolysis and condensation products |
| US11437238B2 (en) | 2018-07-09 | 2022-09-06 | Applied Materials, Inc. | Patterning scheme to improve EUV resist and hard mask selectivity |
| US11092889B2 (en) | 2018-07-31 | 2021-08-17 | Samsung Sdi Co., Ltd. | Semiconductor resist composition, and method of forming patterns using the composition |
| US10840082B2 (en) | 2018-08-09 | 2020-11-17 | Lam Research Corporation | Method to clean SnO2 film from chamber |
| FI129480B (en) | 2018-08-10 | 2022-03-15 | Pibond Oy | Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning |
| US10838304B2 (en) | 2018-08-13 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Priming material for organometallic resist |
| JP7241486B2 (en) | 2018-08-21 | 2023-03-17 | 東京エレクトロン株式会社 | Mask forming method |
| JP7213642B2 (en) | 2018-09-05 | 2023-01-27 | 東京エレクトロン株式会社 | Method for manufacturing resist film |
| TWI884927B (en) | 2018-10-17 | 2025-06-01 | 美商英培雅股份有限公司 | Patterned organometallic photoresists and methods of patterning |
| JP6816083B2 (en) | 2018-10-22 | 2021-01-20 | キオクシア株式会社 | Manufacturing method of semiconductor devices |
| US10845704B2 (en) | 2018-10-30 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance |
| JP6597872B2 (en) | 2018-11-13 | 2019-10-30 | 東京エレクトロン株式会社 | Substrate processing method |
| KR20240104192A (en) | 2018-11-14 | 2024-07-04 | 램 리써치 코포레이션 | Methods for Making hard masks useful in next-generation lithography |
| US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
| US12025919B2 (en) | 2018-11-30 | 2024-07-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of storing photoresist coated substrates and semiconductor substrate container arrangement |
| CN109521657A (en)* | 2018-12-11 | 2019-03-26 | 中国科学院光电技术研究所 | Dry developing method for small molecule photoresist in surface plasma photoetching |
| US12211691B2 (en) | 2018-12-20 | 2025-01-28 | Lam Research Corporation | Dry development of resists |
| US11966158B2 (en) | 2019-01-30 | 2024-04-23 | Inpria Corporation | Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods |
| US11498934B2 (en) | 2019-01-30 | 2022-11-15 | Inpria Corporation | Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods |
| KR102846785B1 (en) | 2019-02-25 | 2025-08-14 | 더 보드 오브 리젠츠 오브 더 유니버시티 오브 텍사스 시스템 | Large-area metrology and process control for anisotropic chemical etching |
| US12125711B2 (en) | 2019-03-18 | 2024-10-22 | Lam Research Corporation | Reducing roughness of extreme ultraviolet lithography resists |
| WO2020210660A1 (en) | 2019-04-12 | 2020-10-15 | Inpria Corporation | Organometallic photoresist developer compositions and processing methods |
| KR102801535B1 (en) | 2019-04-29 | 2025-04-25 | 램 리써치 코포레이션 | Atomic layer etching for subtractive metal etching |
| WO2020223011A1 (en) | 2019-04-30 | 2020-11-05 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
| TW202514284A (en) | 2019-06-26 | 2025-04-01 | 美商蘭姆研究公司 | Photoresist development with halide chemistries |
| KR20220025876A (en) | 2019-06-27 | 2022-03-03 | 램 리써치 코포레이션 | Apparatus for photoresist dry deposition |
| TWI849159B (en) | 2019-06-28 | 2024-07-21 | 美商蘭姆研究公司 | Dry chamber clean of photoresist films |
| WO2020264556A1 (en) | 2019-06-28 | 2020-12-30 | Lam Research Corporation | Bake strategies to enhance lithographic performance of metal-containing resist |
| WO2020264557A1 (en) | 2019-06-28 | 2020-12-30 | Lam Research Corporation | Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient |
| KR102794839B1 (en) | 2019-07-09 | 2025-04-14 | 에이에스엠 아이피 홀딩 비.브이. | Structure including a photoresist underlayer and method of forming same |
| US11782345B2 (en) | 2019-08-05 | 2023-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bottom antireflective coating materials |
| JP2022550568A (en) | 2019-10-02 | 2022-12-02 | ラム リサーチ コーポレーション | Surface modification of substrates with high EUV absorbers for high performance EUV photoresists |
| WO2021072042A1 (en) | 2019-10-08 | 2021-04-15 | Lam Research Corporation | Positive tone development of cvd euv resist films |
| WO2021146138A1 (en)* | 2020-01-15 | 2021-07-22 | Lam Research Corporation | Underlayer for photoresist adhesion and dose reduction |
| WO2021158433A1 (en) | 2020-02-04 | 2021-08-12 | Lam Research Corporation | Post application/exposure treatments to improve dry development performance of metal-containing euv resist |
| JP2023515693A (en) | 2020-03-02 | 2023-04-13 | インプリア・コーポレイション | Process environment for inorganic resist patterning |
| WO2021202146A1 (en) | 2020-03-30 | 2021-10-07 | Lam Research Corporation | Structure and method to achieve positive tone dry develop by a hermetic overlayer |
| US11822237B2 (en) | 2020-03-30 | 2023-11-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device |
| US11705332B2 (en) | 2020-03-30 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern |
| US12436464B2 (en) | 2020-04-03 | 2025-10-07 | Lam Research Corporation | Pre-exposure photoresist curing to enhance EUV lithographic performance |
| US20230314954A1 (en) | 2020-06-22 | 2023-10-05 | Lam Research Corporation | Dry backside and bevel edge clean of photoresist |
| KR20230041688A (en) | 2020-06-22 | 2023-03-24 | 램 리써치 코포레이션 | Surface modification for metal-containing photoresist deposition |
| US11621172B2 (en) | 2020-07-01 | 2023-04-04 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
| JP7382512B2 (en) | 2020-07-07 | 2023-11-16 | ラム リサーチ コーポレーション | Integrated dry process for irradiated photoresist patterning |
| WO2022016126A1 (en) | 2020-07-17 | 2022-01-20 | Lam Research Corporation | Metal chelators for development of metal-containing photoresist |
| WO2022016128A1 (en) | 2020-07-17 | 2022-01-20 | Lam Research Corporation | Method of forming photo-sensitive hybrid films |
| KR20230041749A (en) | 2020-07-17 | 2023-03-24 | 램 리써치 코포레이션 | Dry deposited photoresists using organic co-reactants (CO-REACTANTS) |
| JP2023535894A (en) | 2020-07-17 | 2023-08-22 | ラム リサーチ コーポレーション | Photoresist from SN(II) precursor |
| WO2022016124A1 (en) | 2020-07-17 | 2022-01-20 | Lam Research Corporation | Photoresists containing tantalum |
| US20230107357A1 (en) | 2020-11-13 | 2023-04-06 | Lam Research Corporation | Process tool for dry removal of photoresist |
| KR20230113400A (en) | 2020-12-08 | 2023-07-28 | 램 리써치 코포레이션 | Photoresist development using organic vapors |