



| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US202063009318P | 2020-04-13 | 2020-04-13 | |
| US63/009,318 | 2020-04-13 |
| Publication Number | Publication Date |
|---|---|
| KR20210127620Atrue KR20210127620A (ko) | 2021-10-22 |
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| KR1020210042997APendingKR20210127620A (ko) | 2020-04-13 | 2021-04-01 | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
| Country | Link |
|---|---|
| US (1) | US12068154B2 (ko) |
| KR (1) | KR20210127620A (ko) |
| CN (1) | CN113529044B (ko) |
| TW (1) | TWI887394B (ko) |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
| US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
| US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
| US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
| US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
| US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
| US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
| US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
| US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
| US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
| US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
| US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
| KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
| US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
| US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
| US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
| US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
| US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
| US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
| US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
| KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
| US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
| US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
| TWI815813B (zh) | 2017-08-04 | 2023-09-21 | 荷蘭商Asm智慧財產控股公司 | 用於分配反應腔內氣體的噴頭總成 |
| US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
| US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
| US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
| US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
| US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
| US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
| US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
| US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
| CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
| WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
| US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
| KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
| TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
| US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
| US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
| KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
| US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
| US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
| KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
| KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
| US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
| US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
| KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
| US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
| US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
| KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
| KR102854019B1 (ko) | 2018-06-27 | 2025-09-02 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
| TWI873894B (zh) | 2018-06-27 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
| US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
| US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
| US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
| KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
| US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
| KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
| KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
| US12378665B2 (en) | 2018-10-26 | 2025-08-05 | Asm Ip Holding B.V. | High temperature coatings for a preclean and etch apparatus and related methods |
| US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
| KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
| US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
| US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
| US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
| KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
| US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
| TWI874340B (zh) | 2018-12-14 | 2025-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
| TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
| TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
| TWI873122B (zh) | 2019-02-20 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
| TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
| TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
| KR102858005B1 (ko) | 2019-03-08 | 2025-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
| US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
| JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
| KR102809999B1 (ko) | 2019-04-01 | 2025-05-19 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
| KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
| KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
| KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
| KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
| JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
| JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
| USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
| USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
| KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
| KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
| KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
| KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
| JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
| CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
| KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| KR102860110B1 (ko) | 2019-07-17 | 2025-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
| KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
| US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
| KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
| TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
| US12169361B2 (en) | 2019-07-30 | 2024-12-17 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
| CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
| US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
| CN112342526A (zh) | 2019-08-09 | 2021-02-09 | Asm Ip私人控股有限公司 | 包括冷却装置的加热器组件及其使用方法 |
| USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
| USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
| JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
| KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
| USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
| US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
| KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
| KR102806450B1 (ko) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
| KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
| CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
| KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
| TW202128273A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法 |
| TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
| TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
| US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
| TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
| US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
| KR102845724B1 (ko) | 2019-10-21 | 2025-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
| KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
| KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
| US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
| KR102861314B1 (ko) | 2019-11-20 | 2025-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
| CN112951697B (zh) | 2019-11-26 | 2025-07-29 | Asmip私人控股有限公司 | 基板处理设备 |
| US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
| CN120432376A (zh) | 2019-11-29 | 2025-08-05 | Asm Ip私人控股有限公司 | 基板处理设备 |
| CN112885692B (zh) | 2019-11-29 | 2025-08-15 | Asmip私人控股有限公司 | 基板处理设备 |
| JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
| KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
| KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| JP7730637B2 (ja) | 2020-01-06 | 2025-08-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
| JP7636892B2 (ja) | 2020-01-06 | 2025-02-27 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
| US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
| KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
| KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
| TWI889744B (zh) | 2020-01-29 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 污染物捕集系統、及擋板堆疊 |
| TW202513845A (zh) | 2020-02-03 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置結構及其形成方法 |
| KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
| US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
| KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
| TW202146691A (zh) | 2020-02-13 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法 |
| TWI855223B (zh) | 2020-02-17 | 2024-09-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法 |
| CN113410160A (zh) | 2020-02-28 | 2021-09-17 | Asm Ip私人控股有限公司 | 专用于零件清洁的系统 |
| KR20210113043A (ko) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 정렬 고정구 |
| US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
| KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
| KR102775390B1 (ko) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
| US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
| KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
| TWI887376B (zh) | 2020-04-03 | 2025-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置的製造方法 |
| TWI888525B (zh) | 2020-04-08 | 2025-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
| KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
| US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
| KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
| US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
| KR20210130646A (ko) | 2020-04-21 | 2021-11-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 방법 |
| KR20210132612A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 화합물들을 안정화하기 위한 방법들 및 장치 |
| KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
| KR102866804B1 (ko) | 2020-04-24 | 2025-09-30 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
| CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
| TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
| KR102783898B1 (ko) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
| KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
| JP7726664B2 (ja) | 2020-05-04 | 2025-08-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
| KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
| KR102788543B1 (ko) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
| TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
| KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| KR102795476B1 (ko) | 2020-05-21 | 2025-04-11 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
| KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
| TWI873343B (zh) | 2020-05-22 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
| KR20210146802A (ko) | 2020-05-26 | 2021-12-06 | 에이에스엠 아이피 홀딩 비.브이. | 붕소 및 갈륨을 함유한 실리콘 게르마늄 층을 증착하는 방법 |
| TWI876048B (zh) | 2020-05-29 | 2025-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
| TW202208659A (zh) | 2020-06-16 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積含硼之矽鍺層的方法 |
| TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
| TWI873359B (zh) | 2020-06-30 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
| KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
| TWI878570B (zh) | 2020-07-20 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
| US12322591B2 (en) | 2020-07-27 | 2025-06-03 | Asm Ip Holding B.V. | Thin film deposition process |
| KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
| TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
| US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
| TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
| USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
| KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
| USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
| TWI889903B (zh) | 2020-09-25 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
| KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
| CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
| TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
| TW202232565A (zh) | 2020-10-15 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 製造半導體裝置之方法及使用乙太網路控制自動化技術之基板處理裝置 |
| TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
| TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
| TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
| TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
| TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
| TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
| US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
| TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
| US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
| TW202232639A (zh) | 2020-12-18 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 具有可旋轉台的晶圓處理設備 |
| TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
| TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
| TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
| USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
| USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
| USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
| USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
| USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
| USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| GB400010A (en) | 1931-10-05 | 1933-10-19 | Johann Puppe | Improvements in and connected with ingot moulds |
| US6482262B1 (en) | 1959-10-10 | 2002-11-19 | Asm Microchemistry Oy | Deposition of transition metal carbides |
| US3197682A (en) | 1961-04-13 | 1965-07-27 | Pure Oil Co | Safet electro-responsive-fluid chuck |
| US3634740A (en) | 1970-04-20 | 1972-01-11 | Addressograph Multigraph | Electrostatic holddown |
| CA1053547A (en)* | 1974-02-07 | 1979-05-01 | Diethelm Bitzer | Process for coating inorganic substrates with carbides, nitrides and/or carbonitrides |
| US3916270A (en) | 1974-05-02 | 1975-10-28 | Tektronix Inc | Electrostatic holddown apparatus |
| US3983401A (en) | 1975-03-13 | 1976-09-28 | Electron Beam Microfabrication Corporation | Method and apparatus for target support in electron projection systems |
| US4099041A (en) | 1977-04-11 | 1978-07-04 | Rca Corporation | Susceptor for heating semiconductor substrates |
| US4184188A (en) | 1978-01-16 | 1980-01-15 | Veeco Instruments Inc. | Substrate clamping technique in IC fabrication processes |
| US4241000A (en) | 1978-08-24 | 1980-12-23 | The United States Of America As Represented By The Secretary Of The Army | Process for producing polycrystalline cubic aluminum oxynitride |
| GB2051875A (en) | 1979-05-29 | 1981-01-21 | Standard Telephones Cables Ltd | Preparing metal coatings |
| US4384918A (en) | 1980-09-30 | 1983-05-24 | Fujitsu Limited | Method and apparatus for dry etching and electrostatic chucking device used therein |
| NO150532C (no) | 1981-05-22 | 1984-10-31 | Bjoern R Hope | Anordning ved nivaamaaler. |
| US4720362A (en) | 1981-08-31 | 1988-01-19 | Raytheon Company | Transparent aluminum oxynitride and method of manufacture |
| US4520116A (en) | 1981-08-31 | 1985-05-28 | Raytheon Company | Transparent aluminum oxynitride and method of manufacture |
| US4481300A (en) | 1981-08-31 | 1984-11-06 | Raytheon Company | Aluminum oxynitride having improved optical characteristics and method of manufacture |
| GB2106325A (en) | 1981-09-14 | 1983-04-07 | Philips Electronic Associated | Electrostatic chuck |
| US4412133A (en) | 1982-01-05 | 1983-10-25 | The Perkin-Elmer Corp. | Electrostatic cassette |
| JPS6059104B2 (ja) | 1982-02-03 | 1985-12-23 | 株式会社東芝 | 静電チヤツク板 |
| JPS5929435A (ja) | 1982-08-11 | 1984-02-16 | Hitachi Ltd | 試料支持装置 |
| US5242501A (en) | 1982-09-10 | 1993-09-07 | Lam Research Corporation | Susceptor in chemical vapor deposition reactors |
| JPS5979545A (ja) | 1982-10-29 | 1984-05-08 | Toshiba Corp | 静電チャック装置 |
| JPS59127847A (ja) | 1983-01-13 | 1984-07-23 | Tokuda Seisakusho Ltd | スパツタリング装置の静電チヤツク装置 |
| JPS60110133A (ja) | 1983-01-24 | 1985-06-15 | Toshiba Corp | 静電チャックにおける異状確認装置 |
| US4551192A (en) | 1983-06-30 | 1985-11-05 | International Business Machines Corporation | Electrostatic or vacuum pinchuck formed with microcircuit lithography |
| US4496828A (en) | 1983-07-08 | 1985-01-29 | Ultra Carbon Corporation | Susceptor assembly |
| GB2154365A (en) | 1984-02-10 | 1985-09-04 | Philips Electronic Associated | Loading semiconductor wafers on an electrostatic chuck |
| US4700089A (en) | 1984-08-23 | 1987-10-13 | Fujitsu Limited | Delay circuit for gate-array LSI |
| US4949671A (en) | 1985-10-24 | 1990-08-21 | Texas Instruments Incorporated | Processing apparatus and method |
| DE3776118D1 (de) | 1986-12-22 | 1992-02-27 | Siemens Ag | Transportbehaelter mit austauschbarem, zweiteiligem innenbehaelter. |
| IT209910Z2 (it) | 1987-02-06 | 1988-11-04 | Sgs Microelettronica Spa | Contenitore porta-wafer o fretta di slicio, utilizzato perl'immagazzinamento e/o spedizione sotto vuoto degli stessi. |
| US4880982A (en) | 1987-11-17 | 1989-11-14 | Impex Production & Development A/S (Ltd.) | Fluid indicator for a containment vessel |
| KR970003885B1 (ko) | 1987-12-25 | 1997-03-22 | 도오교오 에레구토론 가부시끼 가이샤 | 에칭 방법 및 그 장치 |
| JPH01185176A (ja) | 1988-01-18 | 1989-07-24 | Fujitsu Ltd | 静電吸着を用いた処理方法 |
| JPH01313954A (ja) | 1988-06-14 | 1989-12-19 | Fujitsu Ltd | 静電チャック |
| US5186120A (en) | 1989-03-22 | 1993-02-16 | Mitsubishi Denki Kabushiki Kaisha | Mixture thin film forming apparatus |
| US4963506A (en) | 1989-04-24 | 1990-10-16 | Motorola Inc. | Selective deposition of amorphous and polycrystalline silicon |
| JP2779950B2 (ja) | 1989-04-25 | 1998-07-23 | 東陶機器株式会社 | 静電チャックの電圧印加方法および電圧印加装置 |
| EP0606114A1 (en) | 1989-08-11 | 1994-07-13 | Seiko Instruments Inc. | Method of producing field effect transistor |
| JP2867526B2 (ja) | 1990-01-16 | 1999-03-08 | 富士通株式会社 | 半導体製造装置 |
| US5310410A (en) | 1990-04-06 | 1994-05-10 | Sputtered Films, Inc. | Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus |
| US5362328A (en) | 1990-07-06 | 1994-11-08 | Advanced Technology Materials, Inc. | Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem |
| US5231062A (en) | 1990-08-09 | 1993-07-27 | Minnesota Mining And Manufacturing Company | Transparent aluminum oxynitride-based ceramic article |
| US5855687A (en) | 1990-12-05 | 1999-01-05 | Applied Materials, Inc. | Substrate support shield in wafer processing reactors |
| JP2839720B2 (ja) | 1990-12-19 | 1998-12-16 | 株式会社東芝 | 熱処理装置 |
| US5271732A (en) | 1991-04-03 | 1993-12-21 | Tokyo Electron Sagami Kabushiki Kaisha | Heat-treating apparatus |
| EP0577766B1 (en) | 1991-04-04 | 1999-12-29 | Seagate Technology, Inc. | Apparatus and method for high throughput sputtering |
| US5125710A (en) | 1991-05-14 | 1992-06-30 | Angelo Gianelo | Under-platform drawer for trucks |
| US5565038A (en) | 1991-05-16 | 1996-10-15 | Intel Corporation | Interhalogen cleaning of process equipment |
| US5252134A (en) | 1991-05-31 | 1993-10-12 | Stauffer Craig M | Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing |
| US5221369A (en) | 1991-07-08 | 1993-06-22 | Air Products And Chemicals, Inc. | In-situ generation of heat treating atmospheres using non-cryogenically produced nitrogen |
| JP2580928Y2 (ja) | 1991-08-22 | 1998-09-17 | 日本電気株式会社 | 気相成長装置 |
| JPH05217921A (ja) | 1991-09-13 | 1993-08-27 | Motorola Inc | 材料膜のエピタキシアル成長を行うための温度制御された処理 |
| US5219226A (en) | 1991-10-25 | 1993-06-15 | Quadtek, Inc. | Imaging and temperature monitoring system |
| US5208961A (en) | 1992-02-28 | 1993-05-11 | National Semiconductor Corporation | Semiconductor processing furnace door alignment apparatus and method |
| US5766360A (en) | 1992-03-27 | 1998-06-16 | Kabushiki Kaisha Toshiba | Substrate processing apparatus and substrate processing method |
| US5280894A (en) | 1992-09-30 | 1994-01-25 | Honeywell Inc. | Fixture for backside wafer etching |
| JP3179212B2 (ja) | 1992-10-27 | 2001-06-25 | 日本電気株式会社 | 半導体装置の製造方法 |
| US5295777A (en) | 1992-12-23 | 1994-03-22 | Materials Research Corporation | Wafer transport module with rotatable and horizontally extendable wafer holder |
| US5478429A (en) | 1993-01-20 | 1995-12-26 | Tokyo Electron Limited | Plasma process apparatus |
| JP3245246B2 (ja) | 1993-01-27 | 2002-01-07 | 東京エレクトロン株式会社 | 熱処理装置 |
| US5637153A (en) | 1993-04-30 | 1997-06-10 | Tokyo Electron Limited | Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus |
| JPH06330323A (ja) | 1993-05-18 | 1994-11-29 | Mitsubishi Electric Corp | 半導体装置製造装置及びそのクリーニング方法 |
| JPH0711446A (ja) | 1993-05-27 | 1995-01-13 | Applied Materials Inc | 気相成長用サセプタ装置 |
| DE69404397T2 (de) | 1993-07-13 | 1997-11-13 | Applied Materials Inc | Verbesserte Suszeptor Ausführung |
| US5540821A (en) | 1993-07-16 | 1996-07-30 | Applied Materials, Inc. | Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing |
| FR2708624A1 (fr) | 1993-07-30 | 1995-02-10 | Neuville Stephane | Procédé de dépôt d'un revêtement protecteur à base de pseudo carbone diamant amorphe ou de carbure de silicium modifié. |
| US5378501A (en) | 1993-10-05 | 1995-01-03 | Foster; Robert F. | Method for chemical vapor deposition of titanium nitride films at low temperatures |
| US5888304A (en) | 1996-04-02 | 1999-03-30 | Applied Materials, Inc. | Heater with shadow ring and purge above wafer surface |
| US5645646A (en) | 1994-02-25 | 1997-07-08 | Applied Materials, Inc. | Susceptor for deposition apparatus |
| GB9411911D0 (en) | 1994-06-14 | 1994-08-03 | Swan Thomas & Co Ltd | Improvements in or relating to chemical vapour deposition |
| US5518780A (en) | 1994-06-16 | 1996-05-21 | Ford Motor Company | Method of making hard, transparent amorphous hydrogenated boron nitride films |
| JPH0878347A (ja) | 1994-09-06 | 1996-03-22 | Komatsu Electron Metals Co Ltd | エピタキシャル成長装置のサセプタ |
| US5811022A (en) | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
| US5661263A (en) | 1995-05-10 | 1997-08-26 | Phaeton, Llc | Surface raceway and method |
| JP3796782B2 (ja) | 1995-11-13 | 2006-07-12 | アシスト シンコー株式会社 | 機械的インターフェイス装置 |
| US5584936A (en) | 1995-12-14 | 1996-12-17 | Cvd, Incorporated | Susceptor for semiconductor wafer processing |
| US5732957A (en) | 1996-02-09 | 1998-03-31 | Yu; Chung-Hsiung | Roller skate with auxiliary roller for assisting turning and braking action thereof |
| US5851293A (en) | 1996-03-29 | 1998-12-22 | Atmi Ecosys Corporation | Flow-stabilized wet scrubber system for treatment of process gases from semiconductor manufacturing operations |
| DE19648744A1 (de) | 1996-11-25 | 1998-05-28 | Basf Ag | Verfahren zur Herstellung einer Polymerdispersion durch radikalische wäßrige Emulsionspolymerisation mit einer kontinuierlich hergestellten wäßrigen Monomerenemulsion |
| JPH10154712A (ja) | 1996-11-25 | 1998-06-09 | Fujitsu Ltd | 半導体装置の製造方法 |
| JPH1160735A (ja) | 1996-12-09 | 1999-03-05 | Toshiba Corp | ポリシランおよびパターン形成方法 |
| US6189482B1 (en) | 1997-02-12 | 2001-02-20 | Applied Materials, Inc. | High temperature, high flow rate chemical vapor deposition apparatus and related methods |
| JP3336897B2 (ja) | 1997-02-07 | 2002-10-21 | 三菱住友シリコン株式会社 | 気相成長装置用サセプター |
| US6217662B1 (en) | 1997-03-24 | 2001-04-17 | Cree, Inc. | Susceptor designs for silicon carbide thin films |
| US6090442A (en) | 1997-04-14 | 2000-07-18 | University Technology Corporation | Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry |
| JP3967424B2 (ja) | 1997-04-30 | 2007-08-29 | 東京エレクトロン株式会社 | 真空処理装置及び圧力調整方法 |
| JP3957818B2 (ja) | 1997-07-02 | 2007-08-15 | 富士通株式会社 | ライブラリ装置用カートリッジ移送ロボット |
| JP3362113B2 (ja) | 1997-07-15 | 2003-01-07 | 日本碍子株式会社 | 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法 |
| US6176929B1 (en) | 1997-07-22 | 2001-01-23 | Ebara Corporation | Thin-film deposition apparatus |
| JP3425592B2 (ja) | 1997-08-12 | 2003-07-14 | 東京エレクトロン株式会社 | 処理装置 |
| US6258170B1 (en) | 1997-09-11 | 2001-07-10 | Applied Materials, Inc. | Vaporization and deposition apparatus |
| JP3283459B2 (ja) | 1997-12-17 | 2002-05-20 | 日本エー・エス・エム株式会社 | 半導体処理用の基板保持装置 |
| US6093611A (en) | 1997-12-19 | 2000-07-25 | Advanced Micro Devices, Inc. | Oxide liner for high reliability with reduced encroachment of the source/drain region |
| KR100273261B1 (ko) | 1997-12-26 | 2000-12-15 | 김영환 | 반도체 화학기상증착장비의 가스혼합장치 |
| JPH11238688A (ja) | 1998-02-23 | 1999-08-31 | Shin Etsu Handotai Co Ltd | 薄膜の製造方法 |
| KR20000000946A (ko) | 1998-06-05 | 2000-01-15 | 주재현 | 기화기 및 이를 사용한 화학 기상 증착장치 |
| US6335293B1 (en) | 1998-07-13 | 2002-01-01 | Mattson Technology, Inc. | Systems and methods for two-sided etch of a semiconductor substrate |
| JP4641569B2 (ja) | 1998-07-24 | 2011-03-02 | 日本碍子株式会社 | 窒化アルミニウム質焼結体、耐蝕性部材、金属埋設および半導体保持装置 |
| US6462310B1 (en) | 1998-08-12 | 2002-10-08 | Asml Us, Inc | Hot wall rapid thermal processor |
| US6596398B1 (en) | 1998-08-21 | 2003-07-22 | Atofina Chemicals, Inc. | Solar control coated glass |
| US6133161A (en) | 1998-08-27 | 2000-10-17 | Micron Technology, Inc. | Methods of forming a film on a substrate using complexes having tris(pyrazolyl) methanate ligands |
| KR100566905B1 (ko) | 1998-09-11 | 2006-07-03 | 에이에스엠지니텍코리아 주식회사 | 표면 촉매를 이용한 화학 증착방법_ |
| JP3234576B2 (ja) | 1998-10-30 | 2001-12-04 | アプライド マテリアルズ インコーポレイテッド | 半導体製造装置におけるウェハ支持装置 |
| US6177688B1 (en) | 1998-11-24 | 2001-01-23 | North Carolina State University | Pendeoepitaxial gallium nitride semiconductor layers on silcon carbide substrates |
| US20010052556A1 (en) | 1998-12-14 | 2001-12-20 | Weichi Ting | Injector |
| US6364954B2 (en) | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
| JP3433392B2 (ja) | 1999-01-12 | 2003-08-04 | セントラル硝子株式会社 | クリーニングガス及び真空処理装置のクリーニング方法 |
| JP3579278B2 (ja) | 1999-01-26 | 2004-10-20 | 東京エレクトロン株式会社 | 縦型熱処理装置及びシール装置 |
| US6250747B1 (en) | 1999-01-28 | 2001-06-26 | Hewlett-Packard Company | Print cartridge with improved back-pressure regulation |
| US6022802A (en) | 1999-03-18 | 2000-02-08 | Taiwan Semiconductor Manufacturing Company | Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines |
| US20020052119A1 (en) | 1999-03-31 | 2002-05-02 | Patrick A. Van Cleemput | In-situ flowing bpsg gap fill process using hdp |
| US20020033183A1 (en) | 1999-05-29 | 2002-03-21 | Sheng Sun | Method and apparatus for enhanced chamber cleaning |
| TW466576B (en) | 1999-06-15 | 2001-12-01 | Ebara Corp | Substrate processing apparatus |
| US6821571B2 (en) | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
| US6239715B1 (en) | 1999-07-21 | 2001-05-29 | Karen L. Belton | Beeper system |
| KR100427916B1 (ko) | 1999-09-03 | 2004-04-28 | 미쯔이 죠센 가부시키가이샤 | 웨이퍼 보유 지지구 |
| US6500487B1 (en) | 1999-10-18 | 2002-12-31 | Advanced Technology Materials, Inc | Abatement of effluent from chemical vapor deposition processes using ligand exchange resistant metal-organic precursor solutions |
| JP4387573B2 (ja) | 1999-10-26 | 2009-12-16 | 東京エレクトロン株式会社 | プロセス排気ガスモニタ装置及び方法、半導体製造装置、及び半導体製造装置管理システム及び方法 |
| US6582891B1 (en) | 1999-12-02 | 2003-06-24 | Axcelis Technologies, Inc. | Process for reducing edge roughness in patterned photoresist |
| US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
| KR20010062209A (ko) | 1999-12-10 | 2001-07-07 | 히가시 데쓰로 | 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치 |
| JP3925780B2 (ja) | 1999-12-15 | 2007-06-06 | エー・エス・エムジニテックコリア株式会社 | 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法 |
| JP3582437B2 (ja) | 1999-12-24 | 2004-10-27 | 株式会社村田製作所 | 薄膜製造方法及びそれに用いる薄膜製造装置 |
| US6576062B2 (en) | 2000-01-06 | 2003-06-10 | Tokyo Electron Limited | Film forming apparatus and film forming method |
| US6517634B2 (en) | 2000-02-28 | 2003-02-11 | Applied Materials, Inc. | Chemical vapor deposition chamber lid assembly |
| US6475902B1 (en) | 2000-03-10 | 2002-11-05 | Applied Materials, Inc. | Chemical vapor deposition of niobium barriers for copper metallization |
| US6390905B1 (en) | 2000-03-31 | 2002-05-21 | Speedfam-Ipec Corporation | Workpiece carrier with adjustable pressure zones and barriers |
| KR100360252B1 (ko) | 2000-04-06 | 2002-11-13 | 엘지전자 주식회사 | 진공청소기의 유로 시스템 |
| FI117978B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle |
| TW576873B (en) | 2000-04-14 | 2004-02-21 | Asm Int | Method of growing a thin film onto a substrate |
| US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
| JP4422295B2 (ja) | 2000-05-17 | 2010-02-24 | キヤノンアネルバ株式会社 | Cvd装置 |
| US6387823B1 (en) | 2000-05-23 | 2002-05-14 | Advanced Micro Devices, Inc. | Method and apparatus for controlling deposition process using residual gas analysis |
| JP3906012B2 (ja)* | 2000-07-06 | 2007-04-18 | 大日本印刷株式会社 | ガスバリア性積層材の製造方法 |
| US6685991B2 (en) | 2000-07-31 | 2004-02-03 | Shin-Etsu Chemical Co., Ltd. | Method for formation of thermal-spray coating layer of rare earth fluoride |
| FR2812568B1 (fr) | 2000-08-01 | 2003-08-08 | Sidel Sa | Revetement barriere depose par plasma comprenant une couche d'interface, procede d'obtention d'un tel revetement et recipient revetu d'un tel revetement |
| KR100373853B1 (ko) | 2000-08-11 | 2003-02-26 | 삼성전자주식회사 | 반도체소자의 선택적 에피택시얼 성장 방법 |
| US6630053B2 (en) | 2000-08-22 | 2003-10-07 | Asm Japan K.K. | Semiconductor processing module and apparatus |
| JP3878972B2 (ja) | 2000-09-25 | 2007-02-07 | 東京エレクトロン株式会社 | 反応器の内部をクリーニングするため、ならびにケイ素含有化合物の膜をエッチングするためのガス組成物 |
| JP3572247B2 (ja) | 2000-10-06 | 2004-09-29 | 東芝セラミックス株式会社 | 半導体熱処理炉用ガス導入管 |
| TW541425B (en) | 2000-10-20 | 2003-07-11 | Ebara Corp | Frequency measuring device, polishing device using the same and eddy current sensor |
| JP3910821B2 (ja) | 2000-10-26 | 2007-04-25 | 東京エレクトロン株式会社 | 基板の処理装置 |
| JP4669605B2 (ja) | 2000-11-20 | 2011-04-13 | 東京エレクトロン株式会社 | 半導体製造装置のクリーニング方法 |
| US6692903B2 (en) | 2000-12-13 | 2004-02-17 | Applied Materials, Inc | Substrate cleaning apparatus and method |
| US6634882B2 (en) | 2000-12-22 | 2003-10-21 | Asm America, Inc. | Susceptor pocket profile to improve process performance |
| US6844273B2 (en) | 2001-02-07 | 2005-01-18 | Tokyo Electron Limited | Precleaning method of precleaning a silicon nitride film forming system |
| JP2005033221A (ja) | 2001-02-08 | 2005-02-03 | Tokyo Electron Ltd | 基板載置台および処理装置 |
| CN101038863B (zh) | 2001-02-15 | 2011-07-06 | 东京毅力科创株式会社 | 被处理件的处理方法及处理装置 |
| US6855037B2 (en) | 2001-03-12 | 2005-02-15 | Asm-Nutool, Inc. | Method of sealing wafer backside for full-face electrochemical plating |
| US6939206B2 (en) | 2001-03-12 | 2005-09-06 | Asm Nutool, Inc. | Method and apparatus of sealing wafer backside for full-face electrochemical plating |
| JP4727057B2 (ja) | 2001-03-28 | 2011-07-20 | 忠弘 大見 | プラズマ処理装置 |
| JP3421329B2 (ja) | 2001-06-08 | 2003-06-30 | 東京エレクトロン株式会社 | 薄膜形成装置の洗浄方法 |
| US6658933B2 (en) | 2001-06-22 | 2003-12-09 | Clesse Industries | Fill-level indicator for a liquefied-petroleum-gas tank |
| US20030013314A1 (en) | 2001-07-06 | 2003-01-16 | Chentsau Ying | Method of reducing particulates in a plasma etch chamber during a metal etch process |
| FR2827682B1 (fr) | 2001-07-20 | 2004-04-02 | Gemplus Card Int | Regulation de pression par transfert d'un volume de gaz calibre |
| US6712949B2 (en) | 2001-07-22 | 2004-03-30 | The Electrosynthesis Company, Inc. | Electrochemical synthesis of hydrogen peroxide |
| US20030029563A1 (en) | 2001-08-10 | 2003-02-13 | Applied Materials, Inc. | Corrosion resistant coating for semiconductor processing chamber |
| JP2003133299A (ja) | 2001-10-24 | 2003-05-09 | Oki Electric Ind Co Ltd | 半導体製造装置および半導体製造方法 |
| US6699784B2 (en) | 2001-12-14 | 2004-03-02 | Applied Materials Inc. | Method for depositing a low k dielectric film (K>3.5) for hard mask application |
| US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
| US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
| US7077913B2 (en) | 2002-01-17 | 2006-07-18 | Hitachi Kokusai Electric, Inc. | Apparatus for fabricating a semiconductor device |
| US6766545B2 (en) | 2002-02-27 | 2004-07-27 | B. Eugene Hodges | Shower drain |
| US20030168174A1 (en) | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
| US6776849B2 (en) | 2002-03-15 | 2004-08-17 | Asm America, Inc. | Wafer holder with peripheral lift ring |
| US6780787B2 (en) | 2002-03-21 | 2004-08-24 | Lam Research Corporation | Low contamination components for semiconductor processing apparatus and methods for making components |
| US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
| US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
| US6814813B2 (en) | 2002-04-24 | 2004-11-09 | Micron Technology, Inc. | Chemical vapor deposition apparatus |
| US7122844B2 (en) | 2002-05-13 | 2006-10-17 | Cree, Inc. | Susceptor for MOCVD reactor |
| JP4278441B2 (ja) | 2002-06-28 | 2009-06-17 | コバレントマテリアル株式会社 | 半導体ウエハ処理用部材 |
| KR100505668B1 (ko) | 2002-07-08 | 2005-08-03 | 삼성전자주식회사 | 원자층 증착 방법에 의한 실리콘 산화막 형성 방법 |
| US20050136657A1 (en) | 2002-07-12 | 2005-06-23 | Tokyo Electron Limited | Film-formation method for semiconductor process |
| WO2004007800A1 (en) | 2002-07-15 | 2004-01-22 | Aviza Technology, Inc. | Thermal processing apparatus and method for evacuating a process chamber |
| JP5005170B2 (ja) | 2002-07-19 | 2012-08-22 | エーエスエム アメリカ インコーポレイテッド | 超高品質シリコン含有化合物層の形成方法 |
| DE10234694A1 (de) | 2002-07-30 | 2004-02-12 | Infineon Technologies Ag | Verfahren zum Oxidieren einer Schicht und zugehörige Aufnamevorrichtung für ein Substrat |
| US7192486B2 (en) | 2002-08-15 | 2007-03-20 | Applied Materials, Inc. | Clog-resistant gas delivery system |
| US6902647B2 (en) | 2002-08-29 | 2005-06-07 | Asm International N.V. | Method of processing substrates with integrated weighing steps |
| US7122415B2 (en) | 2002-09-12 | 2006-10-17 | Promos Technologies, Inc. | Atomic layer deposition of interpoly oxides in a non-volatile memory device |
| KR100497748B1 (ko) | 2002-09-17 | 2005-06-29 | 주식회사 무한 | 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법 |
| US6767824B2 (en) | 2002-09-23 | 2004-07-27 | Padmapani C. Nallan | Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask |
| US6818566B2 (en) | 2002-10-18 | 2004-11-16 | The Boc Group, Inc. | Thermal activation of fluorine for use in a semiconductor chamber |
| US7144806B1 (en) | 2002-10-23 | 2006-12-05 | Novellus Systems, Inc. | ALD of tantalum using a hydride reducing agent |
| US6858524B2 (en) | 2002-12-03 | 2005-02-22 | Asm International, Nv | Method of depositing barrier layer for metal gates |
| US6929699B2 (en) | 2002-12-13 | 2005-08-16 | Texas Instruments Incorporated | Gas injectors for a vertical furnace used in semiconductor processing |
| JP2004244298A (ja) | 2002-12-17 | 2004-09-02 | Kobe Steel Ltd | ダイヤモンド気相合成用基板ホルダ及びダイヤモンド気相合成方法 |
| US7296532B2 (en) | 2002-12-18 | 2007-11-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bypass gas feed system and method to improve reactant gas flow and film deposition |
| US6855645B2 (en) | 2002-12-30 | 2005-02-15 | Novellus Systems, Inc. | Silicon carbide having low dielectric constant |
| JP2004235516A (ja) | 2003-01-31 | 2004-08-19 | Trecenti Technologies Inc | ウエハ収納治具のパージ方法、ロードポートおよび半導体装置の製造方法 |
| KR100505670B1 (ko) | 2003-02-05 | 2005-08-03 | 삼성전자주식회사 | 부산물 제거용 고온 유체 공급 장치를 구비한 반도체 소자제조 장치 |
| US6854580B2 (en) | 2003-02-06 | 2005-02-15 | Borgwarner, Inc. | Torsional damper having variable bypass clutch with centrifugal release mechanism |
| JP4214795B2 (ja) | 2003-02-20 | 2009-01-28 | 東京エレクトロン株式会社 | 成膜方法 |
| US20040163590A1 (en) | 2003-02-24 | 2004-08-26 | Applied Materials, Inc. | In-situ health check of liquid injection vaporizer |
| US6867086B1 (en) | 2003-03-13 | 2005-03-15 | Novellus Systems, Inc. | Multi-step deposition and etch back gap fill process |
| US7335396B2 (en) | 2003-04-24 | 2008-02-26 | Micron Technology, Inc. | Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers |
| US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
| JP2004335715A (ja) | 2003-05-07 | 2004-11-25 | Toppoly Optoelectronics Corp | シリコン酸化層の形成方法 |
| US6905944B2 (en) | 2003-05-08 | 2005-06-14 | International Business Machines Corporation | Sacrificial collar method for improved deep trench processing |
| JP2005005406A (ja) | 2003-06-10 | 2005-01-06 | Semiconductor Leading Edge Technologies Inc | 半導体装置の製造方法 |
| US9725805B2 (en) | 2003-06-27 | 2017-08-08 | Spts Technologies Limited | Apparatus and method for controlled application of reactive vapors to produce thin films and coatings |
| KR100512180B1 (ko) | 2003-07-10 | 2005-09-02 | 삼성전자주식회사 | 자기 랜덤 엑세스 메모리 소자의 자기 터널 접합 및 그의형성방법 |
| KR100541050B1 (ko) | 2003-07-22 | 2006-01-11 | 삼성전자주식회사 | 가스공급장치 및 이를 이용한 반도체소자 제조설비 |
| TWI310850B (en) | 2003-08-01 | 2009-06-11 | Foxsemicon Integrated Tech Inc | Substrate supporting rod and substrate cassette using the same |
| US6921711B2 (en) | 2003-09-09 | 2005-07-26 | International Business Machines Corporation | Method for forming metal replacement gate of high performance |
| US6825106B1 (en) | 2003-09-30 | 2004-11-30 | Sharp Laboratories Of America, Inc. | Method of depositing a conductive niobium monoxide film for MOSFET gates |
| US7052757B2 (en) | 2003-10-03 | 2006-05-30 | Hewlett-Packard Development Company, L.P. | Capping layer for enhanced performance media |
| US7647886B2 (en) | 2003-10-15 | 2010-01-19 | Micron Technology, Inc. | Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers |
| US7094613B2 (en) | 2003-10-21 | 2006-08-22 | Applied Materials, Inc. | Method for controlling accuracy and repeatability of an etch process |
| US20050092439A1 (en) | 2003-10-29 | 2005-05-05 | Keeton Tony J. | Low/high temperature substrate holder to reduce edge rolloff and backside damage |
| JP4725085B2 (ja) | 2003-12-04 | 2011-07-13 | 株式会社豊田中央研究所 | 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法 |
| US20050133166A1 (en) | 2003-12-19 | 2005-06-23 | Applied Materials, Inc. | Tuned potential pedestal for mask etch processing apparatus |
| US7662689B2 (en) | 2003-12-23 | 2010-02-16 | Intel Corporation | Strained transistor integration for CMOS |
| JP4583764B2 (ja) | 2004-01-14 | 2010-11-17 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
| USD535673S1 (en) | 2004-01-16 | 2007-01-23 | Thermal Dynamics Corporation | Gas distributor for a plasma arc torch |
| US7128570B2 (en) | 2004-01-21 | 2006-10-31 | Asm International N.V. | Method and apparatus for purging seals in a thermal reactor |
| US20050164469A1 (en) | 2004-01-28 | 2005-07-28 | Infineon Technologies North America Corp. | Method for N+ doping of amorphous silicon and polysilicon electrodes in deep trenches |
| KR101112029B1 (ko) | 2004-02-13 | 2012-03-21 | 에이에스엠 아메리카, 인코포레이티드 | 자동 도핑 및 후면 증착의 감소를 위한 기판 지지 시스템 |
| USD525127S1 (en) | 2004-03-01 | 2006-07-18 | Kraft Foods Holdings, Inc. | Susceptor ring |
| KR100889437B1 (ko) | 2004-05-18 | 2009-03-24 | 가부시키가이샤 섬코 | 기상 성장 장치용 서셉터 |
| US7229502B2 (en) | 2004-05-27 | 2007-06-12 | Macronix International Co., Ltd. | Method of forming a silicon nitride layer |
| US20050285208A1 (en) | 2004-06-25 | 2005-12-29 | Chi Ren | Metal gate electrode for semiconductor devices |
| US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
| US7504344B2 (en) | 2004-08-09 | 2009-03-17 | Asm Japan K.K. | Method of forming a carbon polymer film using plasma CVD |
| US20060110930A1 (en) | 2004-08-16 | 2006-05-25 | Yoshihide Senzaki | Direct liquid injection system and method for forming multi-component dielectric films |
| JP4348542B2 (ja) | 2004-08-24 | 2009-10-21 | 信越半導体株式会社 | 石英治具及び半導体製造装置 |
| USD524600S1 (en) | 2004-08-26 | 2006-07-11 | Maytag Corporation | Convection cover for cooking appliance |
| JP2006124831A (ja) | 2004-09-30 | 2006-05-18 | Nichias Corp | 気相成長用反応容器及び気相成長方法 |
| US7727880B1 (en) | 2004-11-03 | 2010-06-01 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
| KR100728962B1 (ko) | 2004-11-08 | 2007-06-15 | 주식회사 하이닉스반도체 | 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법 |
| KR100742276B1 (ko) | 2004-11-10 | 2007-07-24 | 삼성전자주식회사 | 저유전율 유전막을 제거하기 위한 식각 용액 및 이를이용한 저유전율 유전막 식각 방법 |
| JP2008521261A (ja) | 2004-11-22 | 2008-06-19 | アプライド マテリアルズ インコーポレイテッド | バッチ処理チャンバを用いた基板処理装置 |
| US20070134821A1 (en) | 2004-11-22 | 2007-06-14 | Randhir Thakur | Cluster tool for advanced front-end processing |
| JP4512098B2 (ja) | 2004-11-29 | 2010-07-28 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
| JP4646752B2 (ja) | 2004-11-29 | 2011-03-09 | 株式会社神戸製鋼所 | 高配向ダイヤモンド膜及びその製造方法並びに高配向ダイヤモンド膜を備えた電子デバイス |
| US8435351B2 (en) | 2004-11-29 | 2013-05-07 | Tokyo Electron Limited | Method and system for measuring a flow rate in a solid precursor delivery system |
| US20060133955A1 (en) | 2004-12-17 | 2006-06-22 | Peters David W | Apparatus and method for delivering vapor phase reagent to a deposition chamber |
| US7699021B2 (en) | 2004-12-22 | 2010-04-20 | Sokudo Co., Ltd. | Cluster tool substrate throughput optimization |
| DE102004063036A1 (de) | 2004-12-28 | 2006-07-06 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Ausbilden von Kontaktflecken |
| US7195985B2 (en) | 2005-01-04 | 2007-03-27 | Intel Corporation | CMOS transistor junction regions formed by a CVD etching and deposition sequence |
| US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
| US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
| US20060176928A1 (en) | 2005-02-08 | 2006-08-10 | Tokyo Electron Limited | Substrate processing apparatus, control method adopted in substrate processing apparatus and program |
| KR100841866B1 (ko) | 2005-02-17 | 2008-06-27 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 디바이스의 제조 방법 및 기판 처리 장치 |
| US7666773B2 (en) | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
| US8486845B2 (en) | 2005-03-21 | 2013-07-16 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
| US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
| WO2006104018A1 (ja) | 2005-03-29 | 2006-10-05 | Hitachi Kokusai Electric Inc. | 基板処理装置及び基板処理システム |
| US20060249175A1 (en) | 2005-05-09 | 2006-11-09 | Applied Materials, Inc. | High efficiency UV curing system |
| WO2007018016A1 (ja) | 2005-08-05 | 2007-02-15 | Hitachi Kokusai Electric Inc. | 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法 |
| US20070065597A1 (en) | 2005-09-15 | 2007-03-22 | Asm Japan K.K. | Plasma CVD film formation apparatus provided with mask |
| US7244658B2 (en) | 2005-10-17 | 2007-07-17 | Applied Materials, Inc. | Low stress STI films and methods |
| US7968205B2 (en) | 2005-10-21 | 2011-06-28 | Shin-Etsu Chemical Co., Ltd. | Corrosion resistant multilayer member |
| JP2007115973A (ja) | 2005-10-21 | 2007-05-10 | Shin Etsu Chem Co Ltd | 耐食性部材 |
| JP4975414B2 (ja) | 2005-11-16 | 2012-07-11 | エーエスエム インターナショナル エヌ.ヴェー. | Cvd又はaldによる膜の堆積のための方法 |
| JP4666496B2 (ja) | 2005-12-07 | 2011-04-06 | 大日本スクリーン製造株式会社 | 基板熱処理装置 |
| US20070264427A1 (en) | 2005-12-21 | 2007-11-15 | Asm Japan K.K. | Thin film formation by atomic layer growth and chemical vapor deposition |
| EP1801855B1 (en) | 2005-12-22 | 2009-01-14 | Freiberger Compound Materials GmbH | Processes for selective masking of III-N layers and for the preparation of free-standing III-N layers or of devices |
| US7651571B2 (en) | 2005-12-22 | 2010-01-26 | Kyocera Corporation | Susceptor |
| CN101003895B (zh) | 2006-01-16 | 2011-10-19 | 中微半导体设备(上海)有限公司 | 一种传送反应物到基片的装置及其处理方法 |
| JP4911980B2 (ja) | 2006-02-02 | 2012-04-04 | 東京エレクトロン株式会社 | 減圧処理装置 |
| WO2007091638A1 (ja) | 2006-02-09 | 2007-08-16 | Sumco Techxiv Corporation | サセプタおよびエピタキシャルウェハの製造装置 |
| JP4497103B2 (ja) | 2006-02-21 | 2010-07-07 | 住友電気工業株式会社 | ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ |
| US20070215278A1 (en) | 2006-03-06 | 2007-09-20 | Muneo Furuse | Plasma etching apparatus and method for forming inner wall of plasma processing chamber |
| DE102006012367B4 (de) | 2006-03-17 | 2015-07-16 | Air Liquide Deutschland Gmbh | Verfahren zur Herstellung eines Hohlkörpers aus Kunststoff mit innenseitiger Sperrschicht |
| JP4781867B2 (ja) | 2006-03-23 | 2011-09-28 | 大日本スクリーン製造株式会社 | 熱処理装置 |
| US7410915B2 (en) | 2006-03-23 | 2008-08-12 | Asm Japan K.K. | Method of forming carbon polymer film using plasma CVD |
| US7598178B2 (en) | 2006-03-24 | 2009-10-06 | Applied Materials, Inc. | Carbon precursors for use during silicon epitaxial film formation |
| USD549815S1 (en) | 2006-03-27 | 2007-08-28 | Murphy Timothy M | Air flow directing fixture for heating, air conditioning and ventilation devices |
| JP4597894B2 (ja) | 2006-03-31 | 2010-12-15 | 東京エレクトロン株式会社 | 基板載置台および基板処理装置 |
| USD614258S1 (en) | 2006-04-06 | 2010-04-20 | Anemos Company Ltd. | Motionless mixer |
| US7655328B2 (en) | 2006-04-20 | 2010-02-02 | Shin-Etsu Chemical Co., Ltd. | Conductive, plasma-resistant member |
| KR100829605B1 (ko) | 2006-05-12 | 2008-05-15 | 삼성전자주식회사 | 소노스 타입의 비휘발성 메모리 장치의 제조 방법 |
| US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
| KR100790779B1 (ko) | 2006-06-09 | 2008-01-02 | 주식회사 아이피에스 | 갭 필 능력을 향상시킨 절연막 증착 방법 |
| WO2008011741A2 (de) | 2006-07-26 | 2008-01-31 | Tec-Sem Ag | Vorrichtung zur lagerung von objekten aus dem bereich der fertigung von elektronischen bauteilen |
| EP2052098A1 (en) | 2006-07-27 | 2009-04-29 | L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method of cleaning film forming apparatus and film forming apparatus |
| US8852349B2 (en) | 2006-09-15 | 2014-10-07 | Applied Materials, Inc. | Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects |
| US20080194113A1 (en) | 2006-09-20 | 2008-08-14 | Samsung Electronics Co., Ltd. | Methods and apparatus for semiconductor etching including an electro static chuck |
| US9245739B2 (en) | 2006-11-01 | 2016-01-26 | Lam Research Corporation | Low-K oxide deposition by hydrolysis and condensation |
| JP4464949B2 (ja) | 2006-11-10 | 2010-05-19 | 株式会社日立国際電気 | 基板処理装置及び選択エピタキシャル膜成長方法 |
| KR101447184B1 (ko) | 2006-11-10 | 2014-10-08 | 엘아이지에이디피 주식회사 | 게이트슬릿 개폐장치가 구비된 공정챔버 |
| US8128333B2 (en) | 2006-11-27 | 2012-03-06 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and manufacturing method for semiconductor devices |
| JP2008147393A (ja) | 2006-12-08 | 2008-06-26 | Toshiba Corp | 半導体装置及びその製造方法 |
| US20080142046A1 (en) | 2006-12-13 | 2008-06-19 | Andrew David Johnson | Thermal F2 etch process for cleaning CVD chambers |
| US7682891B2 (en) | 2006-12-28 | 2010-03-23 | Intel Corporation | Tunable gate electrode work function material for transistor applications |
| US7858898B2 (en) | 2007-01-26 | 2010-12-28 | Lam Research Corporation | Bevel etcher with gap control |
| US7892964B2 (en) | 2007-02-14 | 2011-02-22 | Micron Technology, Inc. | Vapor deposition methods for forming a metal-containing layer on a substrate |
| EP2127046B1 (en) | 2007-03-16 | 2010-11-03 | Philips Intellectual Property & Standards GmbH | Vertical extended cavity surface emission laser and method for manufacturing a light emitting component of the same |
| US7607647B2 (en) | 2007-03-20 | 2009-10-27 | Kla-Tencor Technologies Corporation | Stabilizing a substrate using a vacuum preload air bearing chuck |
| US20080230352A1 (en) | 2007-03-20 | 2008-09-25 | Yasunari Hirata | Conveyer apparatus |
| KR20070041701A (ko) | 2007-03-26 | 2007-04-19 | 노영환 | 제습냉난방환기 시스템 |
| JP2008251826A (ja) | 2007-03-30 | 2008-10-16 | Nec Electronics Corp | 半導体装置の製造方法 |
| US20080237604A1 (en) | 2007-03-30 | 2008-10-02 | Husam Niman Alshareef | Plasma nitrided gate oxide, high-k metal gate based cmos device |
| US20080246101A1 (en) | 2007-04-05 | 2008-10-09 | Applied Materials Inc. | Method of poly-silicon grain structure formation |
| USD562357S1 (en) | 2007-04-20 | 2008-02-19 | Alamo Group, Inc. | Disk for rotary mower knives |
| US8057601B2 (en) | 2007-05-09 | 2011-11-15 | Applied Materials, Inc. | Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber |
| CN101308794B (zh) | 2007-05-15 | 2010-09-15 | 应用材料股份有限公司 | 钨材料的原子层沉积 |
| KR101101785B1 (ko) | 2007-06-08 | 2012-01-05 | 도쿄엘렉트론가부시키가이샤 | 패터닝 방법 |
| US20090035946A1 (en) | 2007-07-31 | 2009-02-05 | Asm International N.V. | In situ deposition of different metal-containing films using cyclopentadienyl metal precursors |
| US20090035463A1 (en) | 2007-08-03 | 2009-02-05 | Tokyo Electron Limited | Thermal processing system and method for forming an oxide layer on substrates |
| US8443484B2 (en) | 2007-08-14 | 2013-05-21 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus |
| US7831135B2 (en) | 2007-09-04 | 2010-11-09 | Sokudo Co., Ltd. | Method and system for controlling bake plate temperature in a semiconductor processing chamber |
| US7638441B2 (en) | 2007-09-11 | 2009-12-29 | Asm Japan K.K. | Method of forming a carbon polymer film using plasma CVD |
| JP2009081223A (ja) | 2007-09-26 | 2009-04-16 | Tokyo Electron Ltd | 静電チャック部材 |
| JP2009087989A (ja) | 2007-09-27 | 2009-04-23 | Nuflare Technology Inc | エピタキシャル成長膜形成方法 |
| US20090093100A1 (en) | 2007-10-09 | 2009-04-09 | Li-Qun Xia | Method for forming an air gap in multilevel interconnect structure |
| EP2215282B1 (en) | 2007-10-11 | 2016-11-30 | Valence Process Equipment, Inc. | Chemical vapor deposition reactor |
| WO2009050645A1 (en) | 2007-10-18 | 2009-04-23 | Nxp B.V. | Method of manufacturing localized semiconductor-on-insulator (soi) structures in a bulk semiconductor wafer |
| KR20090047211A (ko) | 2007-11-07 | 2009-05-12 | 삼성전자주식회사 | 도전 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조방법 |
| JP5374039B2 (ja) | 2007-12-27 | 2013-12-25 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置及び記憶媒体 |
| US20090176018A1 (en) | 2008-01-09 | 2009-07-09 | Min Zou | Nano/micro-textured surfaces and methods of making same by aluminum-induced crystallization of amorphous silicon |
| CN101978475B (zh) | 2008-03-21 | 2013-09-25 | 应用材料公司 | 屏蔽性盖加热器组件 |
| KR100971414B1 (ko) | 2008-04-18 | 2010-07-21 | 주식회사 하이닉스반도체 | 스트레인드 채널을 갖는 반도체 소자 및 그 제조방법 |
| US7632549B2 (en) | 2008-05-05 | 2009-12-15 | Asm Japan K.K. | Method of forming a high transparent carbon film |
| TWI475594B (zh) | 2008-05-19 | 2015-03-01 | Entegris Inc | 靜電夾頭 |
| US8945675B2 (en) | 2008-05-29 | 2015-02-03 | Asm International N.V. | Methods for forming conductive titanium oxide thin films |
| US20090297731A1 (en) | 2008-05-30 | 2009-12-03 | Asm Japan K.K. | Apparatus and method for improving production throughput in cvd chamber |
| US7699935B2 (en) | 2008-06-19 | 2010-04-20 | Applied Materials, Inc. | Method and system for supplying a cleaning gas into a process chamber |
| US8111978B2 (en) | 2008-07-11 | 2012-02-07 | Applied Materials, Inc. | Rapid thermal processing chamber with shower head |
| KR101482944B1 (ko) | 2008-08-04 | 2015-01-16 | 한국과학기술원 | 산화티타늄을 활성층으로 갖는 박막 트랜지스터의 제조방법 및 이에 의해 제조된 박막 트랜지스터 |
| US8394229B2 (en) | 2008-08-07 | 2013-03-12 | Asm America, Inc. | Susceptor ring |
| US7816218B2 (en) | 2008-08-14 | 2010-10-19 | Intel Corporation | Selective deposition of amorphous silicon films on metal gates |
| US20100075488A1 (en) | 2008-09-19 | 2010-03-25 | Applied Materials, Inc. | Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism |
| WO2010042410A2 (en) | 2008-10-07 | 2010-04-15 | Applied Materials, Inc. | Apparatus for efficient removal of halogen residues from etched substrates |
| ATE535534T1 (de) | 2008-10-07 | 2011-12-15 | Air Liquide | Metall-organische niobium- und vanadium-vorläufer zur dünnschichtablagerung |
| US20100121100A1 (en) | 2008-11-12 | 2010-05-13 | Daniel Travis Shay | Supported palladium-gold catalysts and preparation of vinyl acetate therewith |
| US9017765B2 (en) | 2008-11-12 | 2015-04-28 | Applied Materials, Inc. | Protective coatings resistant to reactive plasma processing |
| US8858745B2 (en) | 2008-11-12 | 2014-10-14 | Applied Materials, Inc. | Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas |
| US20100116208A1 (en) | 2008-11-13 | 2010-05-13 | Applied Materials, Inc. | Ampoule and delivery system for solid precursors |
| US8033771B1 (en) | 2008-12-11 | 2011-10-11 | Novellus Systems, Inc. | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
| US20100147396A1 (en) | 2008-12-15 | 2010-06-17 | Asm Japan K.K. | Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus |
| JP5268626B2 (ja) | 2008-12-26 | 2013-08-21 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
| US8151814B2 (en) | 2009-01-13 | 2012-04-10 | Asm Japan K.K. | Method for controlling flow and concentration of liquid precursor |
| GB2469112A (en) | 2009-04-03 | 2010-10-06 | Mapper Lithography Ip Bv | Wafer support using controlled capillary liquid layer to hold and release wafer |
| KR20100122701A (ko) | 2009-05-13 | 2010-11-23 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
| US8715574B2 (en) | 2009-06-19 | 2014-05-06 | Abbott Laboratories | System for managing inventory of bulk liquids |
| JP5038365B2 (ja) | 2009-07-01 | 2012-10-03 | 株式会社東芝 | サセプタおよび成膜装置 |
| US8980719B2 (en) | 2010-04-28 | 2015-03-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for doping fin field-effect transistors |
| US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
| WO2011017068A1 (en) | 2009-08-07 | 2011-02-10 | Sigma-Aldrich Co. | High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films |
| US20110117728A1 (en) | 2009-08-27 | 2011-05-19 | Applied Materials, Inc. | Method of decontamination of process chamber after in-situ chamber clean |
| USD633452S1 (en) | 2009-08-27 | 2011-03-01 | Ebara Corporation | Elastic membrane for semiconductor wafer polishing apparatus |
| JP2013503849A (ja) | 2009-09-02 | 2013-02-04 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | ゲルマニウム含有フィルムの堆積のための二ハロゲン化ゲルマニウム(ii)先駆物質 |
| US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
| US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
| JP5410235B2 (ja) | 2009-10-15 | 2014-02-05 | 小島プレス工業株式会社 | 有機高分子薄膜の形成方法及び形成装置 |
| WO2011049816A2 (en) | 2009-10-20 | 2011-04-28 | Asm International N.V. | Processes for passivating dielectric films |
| KR101490726B1 (ko) | 2009-10-21 | 2015-02-09 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치 |
| WO2011056519A2 (en) | 2009-10-26 | 2011-05-12 | Asm International N.V. | Synthesis and use of precursors for ald of group va element containing thin films |
| JP5257328B2 (ja) | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
| KR101128267B1 (ko) | 2009-11-26 | 2012-03-26 | 주식회사 테스 | 가스분사장치 및 이를 갖는 공정 챔버 |
| US8323558B2 (en) | 2009-11-30 | 2012-12-04 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Dynamic control of lance utilizing counterflow fluidic techniques |
| US8507720B2 (en) | 2010-01-29 | 2013-08-13 | Lyondell Chemical Technology, L.P. | Titania-alumina supported palladium catalyst |
| JP5610438B2 (ja) | 2010-01-29 | 2014-10-22 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
| JP5812606B2 (ja) | 2010-02-26 | 2015-11-17 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
| US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
| US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
| US20110254138A1 (en)* | 2010-04-15 | 2011-10-20 | International Business Machines Corporation | Low-temperature absorber film and method of fabrication |
| JP2012004536A (ja) | 2010-05-20 | 2012-01-05 | Hitachi Kokusai Electric Inc | 基板処理装置及び基板処理方法 |
| US20110297088A1 (en) | 2010-06-04 | 2011-12-08 | Texas Instruments Incorporated | Thin edge carrier ring |
| JP5794497B2 (ja) | 2010-06-08 | 2015-10-14 | 国立研究開発法人産業技術総合研究所 | 連結システム |
| US9570328B2 (en) | 2010-06-30 | 2017-02-14 | Applied Materials, Inc. | Substrate support for use with multi-zonal heating sources |
| US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
| CN103119696A (zh) | 2010-09-21 | 2013-05-22 | 株式会社爱发科 | 薄膜制造方法和薄膜制造装置 |
| TWI513848B (zh) | 2010-09-24 | 2015-12-21 | Ferrotec Usa Corp | 混合氣體注射器 |
| TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
| JP5734081B2 (ja) | 2010-10-18 | 2015-06-10 | 株式会社日立国際電気 | 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法 |
| JP2012109446A (ja) | 2010-11-18 | 2012-06-07 | Tokyo Electron Ltd | 絶縁部材及び絶縁部材を備えた基板処理装置 |
| CN102094183B (zh) | 2010-12-22 | 2012-07-25 | 中国工程物理研究院激光聚变研究中心 | 冷壁间歇式反应器 |
| US8900935B2 (en) | 2011-01-25 | 2014-12-02 | International Business Machines Corporation | Deposition on a nanowire using atomic layer deposition |
| US20120196242A1 (en) | 2011-01-27 | 2012-08-02 | Applied Materials, Inc. | Substrate support with heater and rapid temperature change |
| US10011920B2 (en) | 2011-02-23 | 2018-07-03 | International Business Machines Corporation | Low-temperature selective epitaxial growth of silicon for device integration |
| US8574340B2 (en) | 2011-02-27 | 2013-11-05 | Board Of Trustees Of The University Of Alabama | Methods for preparing and using metal and/or metal oxide porous materials |
| KR101847026B1 (ko) | 2011-03-01 | 2018-04-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 공유된 펌프를 갖는 진공 챔버들 |
| KR102111702B1 (ko) | 2011-04-07 | 2020-05-15 | 피코순 오와이 | 플라즈마 소오스를 갖는 원자층 퇴적 |
| DE102011007632B3 (de) | 2011-04-18 | 2012-02-16 | Siltronic Ag | Verfahren und Vorrichtung zum Abscheiden einer von Prozessgas stammenden Materialschicht auf einer Substratscheibe |
| DE102011007682A1 (de) | 2011-04-19 | 2012-10-25 | Siltronic Ag | Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe |
| US20120270384A1 (en) | 2011-04-22 | 2012-10-25 | Applied Materials, Inc. | Apparatus for deposition of materials on a substrate |
| US8592005B2 (en) | 2011-04-26 | 2013-11-26 | Asm Japan K.K. | Atomic layer deposition for controlling vertical film growth |
| KR20120137986A (ko) | 2011-06-14 | 2012-12-24 | 삼성디스플레이 주식회사 | 정전척 |
| US10707082B2 (en) | 2011-07-06 | 2020-07-07 | Asm International N.V. | Methods for depositing thin films comprising indium nitride by atomic layer deposition |
| US8647809B2 (en) | 2011-07-07 | 2014-02-11 | Brewer Science Inc. | Metal-oxide films from small molecules for lithographic applications |
| JP5755958B2 (ja) | 2011-07-08 | 2015-07-29 | 株式会社フジキン | 半導体製造装置の原料ガス供給装置 |
| US9018567B2 (en) | 2011-07-13 | 2015-04-28 | Asm International N.V. | Wafer processing apparatus with heated, rotating substrate support |
| US10242890B2 (en) | 2011-08-08 | 2019-03-26 | Applied Materials, Inc. | Substrate support with heater |
| JP5712874B2 (ja) | 2011-09-05 | 2015-05-07 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
| JP2013058559A (ja) | 2011-09-07 | 2013-03-28 | Tokyo Electron Ltd | 半導体装置の製造方法及び基板処理システム |
| US10269615B2 (en) | 2011-09-09 | 2019-04-23 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
| US10023954B2 (en) | 2011-09-15 | 2018-07-17 | Applied Materials, Inc. | Slit valve apparatus, systems, and methods |
| US8993072B2 (en) | 2011-09-27 | 2015-03-31 | Air Products And Chemicals, Inc. | Halogenated organoaminosilane precursors and methods for depositing films comprising same |
| JP5784440B2 (ja) | 2011-09-28 | 2015-09-24 | トランスフォーム・ジャパン株式会社 | 半導体装置の製造方法及び半導体装置 |
| US8849466B2 (en) | 2011-10-04 | 2014-09-30 | Mks Instruments, Inc. | Method of and apparatus for multiple channel flow ratio controller system |
| US9653267B2 (en) | 2011-10-06 | 2017-05-16 | Applied Materials, Inc. | Temperature controlled chamber liner |
| US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
| US8637930B2 (en) | 2011-10-13 | 2014-01-28 | International Business Machines Company | FinFET parasitic capacitance reduction using air gap |
| US11085112B2 (en) | 2011-10-28 | 2021-08-10 | Asm Ip Holding B.V. | Susceptor with ring to limit backside deposition |
| WO2013063260A1 (en) | 2011-10-28 | 2013-05-02 | Applied Materials, Inc. | High temperature tungsten metallization process |
| JP6038043B2 (ja) | 2011-11-21 | 2016-12-07 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
| JP6592243B2 (ja) | 2011-11-21 | 2019-10-16 | ブルーワー サイエンス アイ エヌ シー. | Euvリソグラフィのためのアシスト層 |
| JP6034156B2 (ja) | 2011-12-05 | 2016-11-30 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
| AU2012347103B2 (en) | 2011-12-07 | 2016-09-01 | Panasonic Intellectual Property Management Co., Ltd. | Niobium nitride and method for producing same, niobium nitride-containing film and method for producing same, semiconductor, semiconductor device, photocatalyst, hydrogen generation device, and energy system |
| JP6049395B2 (ja) | 2011-12-09 | 2016-12-21 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
| US20130147050A1 (en) | 2011-12-12 | 2013-06-13 | Advanced Cooling Technologies, Inc. | Semiconductor having integrally-formed enhanced thermal management |
| KR101427726B1 (ko) | 2011-12-27 | 2014-08-07 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반도체 장치의 제조 방법 |
| CN102539019B (zh) | 2012-01-05 | 2013-09-25 | 北京东方计量测试研究所 | 空间真空环境下的温度测量与校准平台 |
| KR20130086806A (ko) | 2012-01-26 | 2013-08-05 | 삼성전자주식회사 | 박막 증착 장치 |
| US8784676B2 (en) | 2012-02-03 | 2014-07-22 | Lam Research Corporation | Waferless auto conditioning |
| US8721833B2 (en) | 2012-02-05 | 2014-05-13 | Tokyo Electron Limited | Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof |
| KR101928356B1 (ko) | 2012-02-16 | 2018-12-12 | 엘지이노텍 주식회사 | 반도체 제조 장치 |
| CN103295867B (zh) | 2012-02-29 | 2016-12-28 | 细美事有限公司 | 等离子体边界限制器单元和用于处理基板的设备 |
| US8846536B2 (en) | 2012-03-05 | 2014-09-30 | Novellus Systems, Inc. | Flowable oxide film with tunable wet etch rate |
| JP6159536B2 (ja) | 2012-03-05 | 2017-07-05 | 株式会社日立国際電気 | 基板処理装置、基板処理装置の保守方法及び移載方法並びにプログラム |
| SG11201405417YA (en) | 2012-03-23 | 2014-10-30 | Picosun Oy | Atomic layer deposition method and apparatuses |
| US9698386B2 (en) | 2012-04-13 | 2017-07-04 | Oti Lumionics Inc. | Functionalization of a substrate |
| US8853070B2 (en) | 2012-04-13 | 2014-10-07 | Oti Lumionics Inc. | Functionalization of a substrate |
| JP6284925B2 (ja) | 2012-04-16 | 2018-02-28 | ブルーワー サイエンス アイ エヌ シー. | 誘導自己組織化用のケイ素系ハードマスク層 |
| US8937800B2 (en) | 2012-04-24 | 2015-01-20 | Applied Materials, Inc. | Electrostatic chuck with advanced RF and temperature uniformity |
| SG195501A1 (en) | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
| TW201410688A (zh) | 2012-05-25 | 2014-03-16 | Air Liquide | 用於蒸氣沈積之含鋯前驅物 |
| SG11201501144TA (en) | 2012-09-07 | 2015-04-29 | Applied Materials Inc | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
| JP6022274B2 (ja) | 2012-09-18 | 2016-11-09 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
| CN103794458B (zh) | 2012-10-29 | 2016-12-21 | 中微半导体设备(上海)有限公司 | 用于等离子体处理腔室内部的部件及制造方法 |
| US8821985B2 (en) | 2012-11-02 | 2014-09-02 | Intermolecular, Inc. | Method and apparatus for high-K gate performance improvement and combinatorial processing |
| USD693782S1 (en) | 2012-11-19 | 2013-11-19 | Epicrew Corporation | Lid for epitaxial growing device |
| JP6071514B2 (ja) | 2012-12-12 | 2017-02-01 | 東京エレクトロン株式会社 | 静電チャックの改質方法及びプラズマ処理装置 |
| JP6017396B2 (ja) | 2012-12-18 | 2016-11-02 | 東京エレクトロン株式会社 | 薄膜形成方法および薄膜形成装置 |
| KR101950349B1 (ko) | 2012-12-26 | 2019-02-20 | 에스케이하이닉스 주식회사 | 보이드 프리 폴리실리콘 갭필 방법 및 그를 이용한 반도체장치 제조 방법 |
| GB201223473D0 (en) | 2012-12-28 | 2013-02-13 | Faradion Ltd | Metal-containing compounds |
| US9583363B2 (en) | 2012-12-31 | 2017-02-28 | Sunedison Semiconductor Limited (Uen201334164H) | Processes and apparatus for preparing heterostructures with reduced strain by radial distension |
| US8853039B2 (en) | 2013-01-17 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Defect reduction for formation of epitaxial layer in source and drain regions |
| US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
| US9633889B2 (en) | 2013-03-06 | 2017-04-25 | Applied Materials, Inc. | Substrate support with integrated vacuum and edge purge conduits |
| US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
| US9721784B2 (en)* | 2013-03-15 | 2017-08-01 | Applied Materials, Inc. | Ultra-conformal carbon film deposition |
| US9564348B2 (en) | 2013-03-15 | 2017-02-07 | Applied Materials, Inc. | Shutter blade and robot blade with CTE compensation |
| JP5386046B1 (ja) | 2013-03-27 | 2014-01-15 | エピクルー株式会社 | サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置 |
| CN106169415B (zh) | 2013-05-03 | 2020-02-14 | 应用材料公司 | 用于多图案化应用的光调谐硬掩模 |
| US9299837B2 (en) | 2013-05-22 | 2016-03-29 | Globalfoundries Inc. | Integrated circuit having MOSFET with embedded stressor and method to fabricate same |
| US9605736B1 (en) | 2013-05-31 | 2017-03-28 | Rct Systems, Inc. | High temperature electromagnetic actuator |
| TWI609991B (zh) | 2013-06-05 | 2018-01-01 | 維克儀器公司 | 具有熱一致性改善特色的晶圓舟盒 |
| US8895395B1 (en) | 2013-06-06 | 2014-11-25 | International Business Machines Corporation | Reduced resistance SiGe FinFET devices and method of forming same |
| US9117657B2 (en) | 2013-06-07 | 2015-08-25 | Asm Ip Holding B.V. | Method for filling recesses using pre-treatment with hydrocarbon-containing gas |
| DE102013210679A1 (de)* | 2013-06-07 | 2014-12-11 | Bayer Materialscience Ag | Verfahren zur Herstellung mehrwandiger Kohlenstoffnanoröhrchen, mehrwandiges Kohlenstoffnanoröhrchen und Kohlenstoffnanoröhrchenpulver |
| CN104233226B (zh) | 2013-06-09 | 2017-06-06 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种原子层沉积设备 |
| CN105408983B (zh) | 2013-06-26 | 2018-06-22 | 北京七星华创电子股份有限公司 | 一种垂直无旋处理腔室 |
| US20150020848A1 (en) | 2013-07-19 | 2015-01-22 | Lam Research Corporation | Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning |
| JP6116425B2 (ja) | 2013-07-19 | 2017-04-19 | 大陽日酸株式会社 | 金属薄膜の製膜方法 |
| JP6087236B2 (ja) | 2013-07-24 | 2017-03-01 | 東京エレクトロン株式会社 | 成膜方法 |
| US9663546B2 (en) | 2013-07-26 | 2017-05-30 | President And Fellows Of Harvard College | Metal amides of cyclic amines |
| CN104342637B (zh) | 2013-07-26 | 2017-02-15 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种原子层沉积设备 |
| US9994954B2 (en) | 2013-07-26 | 2018-06-12 | Versum Materials Us, Llc | Volatile dihydropyrazinly and dihydropyrazine metal complexes |
| USD784276S1 (en) | 2013-08-06 | 2017-04-18 | Applied Materials, Inc. | Susceptor assembly |
| GB2517697A (en) | 2013-08-27 | 2015-03-04 | Ibm | Compound semiconductor structure |
| US9136108B2 (en) | 2013-09-04 | 2015-09-15 | Asm Ip Holding B.V. | Method for restoring porous surface of dielectric layer by UV light-assisted ALD |
| US8969169B1 (en) | 2013-09-20 | 2015-03-03 | Intermolecular, Inc. | DRAM MIM capacitor using non-noble electrodes |
| US8900951B1 (en) | 2013-09-24 | 2014-12-02 | International Business Machines Corporation | Gate-all-around nanowire MOSFET and method of formation |
| TWI649803B (zh) | 2013-09-30 | 2019-02-01 | 蘭姆研究公司 | 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 |
| US10214817B2 (en) | 2013-10-16 | 2019-02-26 | The Board Of Trustees Of The University Of Illinois | Multi-metal films, alternating film multilayers, formation methods and deposition system |
| US9145607B2 (en) | 2013-10-22 | 2015-09-29 | Lam Research Corporation | Tandem source activation for cyclical deposition of films |
| US20150126036A1 (en) | 2013-11-05 | 2015-05-07 | Tokyo Electron Limited | Controlling etch rate drift and particles during plasma processing |
| US10443127B2 (en) | 2013-11-05 | 2019-10-15 | Taiwan Semiconductor Manufacturing Company Limited | System and method for supplying a precursor for an atomic layer deposition (ALD) process |
| CN104630735B (zh) | 2013-11-06 | 2017-12-19 | 北京北方华创微电子装备有限公司 | 温度监控装置及等离子体加工设备 |
| US9330937B2 (en) | 2013-11-13 | 2016-05-03 | Intermolecular, Inc. | Etching of semiconductor structures that include titanium-based layers |
| KR101539298B1 (ko) | 2013-11-25 | 2015-07-29 | 주식회사 엘지실트론 | 에피택셜 웨이퍼 성장 장치 |
| US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
| KR20150064993A (ko) | 2013-12-04 | 2015-06-12 | 삼성전자주식회사 | 반도체 제조 장치 |
| US9245742B2 (en) | 2013-12-18 | 2016-01-26 | Asm Ip Holding B.V. | Sulfur-containing thin films |
| US20150176124A1 (en) | 2013-12-19 | 2015-06-25 | Intermolecular, Inc. | Methods for Rapid Generation of ALD Saturation Curves Using Segmented Spatial ALD |
| TWI654336B (zh) | 2013-12-30 | 2019-03-21 | 美商蘭姆研究公司 | 具有脈衝式電漿曝露之電漿輔助式原子層沉積 |
| JP6208588B2 (ja) | 2014-01-28 | 2017-10-04 | 東京エレクトロン株式会社 | 支持機構及び基板処理装置 |
| KR102155181B1 (ko) | 2014-01-28 | 2020-09-11 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
| US9214340B2 (en) | 2014-02-05 | 2015-12-15 | Applied Materials, Inc. | Apparatus and method of forming an indium gallium zinc oxide layer |
| CN203721699U (zh) | 2014-02-20 | 2014-07-16 | 北京七星华创电子股份有限公司 | 一种盘状物的夹持装置及盘状物的旋转平台 |
| WO2015127614A1 (zh) | 2014-02-27 | 2015-09-03 | 深圳市祥涛瑞杰贸易有限公司 | 空气净化结构和空气净化系统 |
| US9472410B2 (en) | 2014-03-05 | 2016-10-18 | Applied Materials, Inc. | Pixelated capacitance controlled ESC |
| US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
| WO2015141792A1 (ja) | 2014-03-20 | 2015-09-24 | 株式会社日立国際電気 | 基板処理装置、天井部及び半導体装置の製造方法 |
| US20150275355A1 (en) | 2014-03-26 | 2015-10-01 | Air Products And Chemicals, Inc. | Compositions and methods for the deposition of silicon oxide films |
| US20150311043A1 (en) | 2014-04-25 | 2015-10-29 | Applied Materials, Inc. | Chamber component with fluorinated thin film coating |
| WO2015179081A1 (en) | 2014-05-21 | 2015-11-26 | Applied Materials, Inc. | Thermal processing susceptor |
| CN106463453A (zh) | 2014-07-10 | 2017-02-22 | 应用材料公司 | 在化学气相沉积反应器中的基座的设计 |
| US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
| US9548188B2 (en) | 2014-07-30 | 2017-01-17 | Lam Research Corporation | Method of conditioning vacuum chamber of semiconductor substrate processing apparatus |
| US9970108B2 (en) | 2014-08-01 | 2018-05-15 | Lam Research Corporation | Systems and methods for vapor delivery in a substrate processing system |
| CN104197411B (zh) | 2014-08-08 | 2017-07-28 | 珠海格力电器股份有限公司 | 空调器的室内机及空调器 |
| CN106856664B (zh) | 2014-09-05 | 2019-11-19 | 日商乐华股份有限公司 | 装载口及装载口的气氛置换方法 |
| US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
| US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
| US10460949B2 (en) | 2014-10-20 | 2019-10-29 | Tokyo Electron Limited | Substrate processing apparatus, substrate processing method and storage medium |
| CN107112213B (zh) | 2014-10-30 | 2021-04-16 | 应用材料公司 | 在低温下生长薄外延膜的方法 |
| CN104307264A (zh) | 2014-10-31 | 2015-01-28 | 苏州博菡环保科技有限公司 | 空气净化器 |
| US10269614B2 (en) | 2014-11-12 | 2019-04-23 | Applied Materials, Inc. | Susceptor design to reduce edge thermal peak |
| KR20160070359A (ko) | 2014-12-10 | 2016-06-20 | 삼성전자주식회사 | 가스 인젝터 및 이를 갖는 웨이퍼 처리 장치 |
| JP6459462B2 (ja) | 2014-12-11 | 2019-01-30 | 東京エレクトロン株式会社 | リーク判定方法、基板処理装置及び記憶媒体 |
| CN104498895B (zh) | 2014-12-23 | 2017-02-22 | 国家纳米科学中心 | 一种超薄氮氧化硅膜材料及其制备方法和用途 |
| KR102506495B1 (ko) | 2015-01-12 | 2023-03-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 후면 변색 제어를 위한 지지 조립체 |
| US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
| US9764986B2 (en) | 2015-01-22 | 2017-09-19 | Kennametal Inc. | Low temperature CVD coatings and applications thereof |
| CN204629865U (zh) | 2015-02-03 | 2015-09-09 | 宁波永茂电器厂 | 双单元移动式冷风机 |
| US9928994B2 (en) | 2015-02-03 | 2018-03-27 | Lam Research Corporation | Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films |
| US9963782B2 (en) | 2015-02-12 | 2018-05-08 | Asm Ip Holding B.V. | Semiconductor manufacturing apparatus |
| JP2016157893A (ja)* | 2015-02-26 | 2016-09-01 | 東京エレクトロン株式会社 | カーボン膜の成膜方法および成膜装置 |
| JP6706626B2 (ja) | 2015-03-18 | 2020-06-10 | インテグリス・インコーポレーテッド | フッ化アニールした膜でコーティングした物品 |
| USD761325S1 (en) | 2015-03-19 | 2016-07-12 | Issam N. Abed | Rear crankshaft seal housing |
| JP6358143B2 (ja) | 2015-03-26 | 2018-07-18 | 株式会社ダイフク | 半導体容器保管設備 |
| USD759193S1 (en) | 2015-04-01 | 2016-06-14 | Cummins Emission Solutions, Inc. | Water deflector |
| US10246772B2 (en) | 2015-04-01 | 2019-04-02 | Applied Materials, Inc. | Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices |
| USD801942S1 (en) | 2015-04-16 | 2017-11-07 | Applied Materials, Inc. | Target profile for a physical vapor deposition chamber target |
| KR20160124992A (ko) | 2015-04-20 | 2016-10-31 | 삼성전자주식회사 | 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법 |
| USD797067S1 (en) | 2015-04-21 | 2017-09-12 | Applied Materials, Inc. | Target profile for a physical vapor deposition chamber target |
| US9865459B2 (en) | 2015-04-22 | 2018-01-09 | Applied Materials, Inc. | Plasma treatment to improve adhesion between hardmask film and silicon oxide film |
| US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
| US9428833B1 (en) | 2015-05-29 | 2016-08-30 | Lam Research Corporation | Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal |
| US9449843B1 (en) | 2015-06-09 | 2016-09-20 | Applied Materials, Inc. | Selectively etching metals and metal nitrides conformally |
| CN106328702B (zh) | 2015-06-15 | 2020-03-06 | 联华电子股份有限公司 | 填充半导体元件间隙的方法及其形成的半导体元件 |
| USD798248S1 (en) | 2015-06-18 | 2017-09-26 | Applied Materials, Inc. | Target profile for a physical vapor deposition chamber target |
| KR102417934B1 (ko) | 2015-07-07 | 2022-07-07 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 장치 |
| US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
| US10745808B2 (en) | 2015-07-24 | 2020-08-18 | Versum Materials Us, Llc | Methods for depositing Group 13 metal or metalloid nitride films |
| US20170040146A1 (en) | 2015-08-03 | 2017-02-09 | Lam Research Corporation | Plasma etching device with plasma etch resistant coating |
| US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
| JP1549880S (ko) | 2015-08-06 | 2016-05-23 | ||
| US10950477B2 (en) | 2015-08-07 | 2021-03-16 | Applied Materials, Inc. | Ceramic heater and esc with enhanced wafer edge performance |
| JP1550115S (ko) | 2015-08-18 | 2016-05-23 | ||
| US9449987B1 (en) | 2015-08-21 | 2016-09-20 | Sandisk Technologies Llc | Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors |
| US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
| US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
| US10121671B2 (en) | 2015-08-28 | 2018-11-06 | Applied Materials, Inc. | Methods of depositing metal films using metal oxyhalide precursors |
| EP4089482A1 (en) | 2015-10-13 | 2022-11-16 | Inpria Corporation | Organotin oxide hydroxide patterning compositions, precursors, and patterning |
| USD800782S1 (en) | 2015-11-09 | 2017-10-24 | Eaton Corporation | Drive plate |
| US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
| US9786492B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
| US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
| JP6681646B2 (ja) | 2015-11-27 | 2020-04-15 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法及びプログラム |
| US20170167023A1 (en) | 2015-12-09 | 2017-06-15 | Lam Research Corporation | Silicon or silicon carbide gas injector for substrate processing systems |
| US10332767B2 (en) | 2015-12-17 | 2019-06-25 | Asm Ip Holding B.V. | Substrate transport device and substrate processing apparatus |
| KR102423818B1 (ko) | 2015-12-18 | 2022-07-21 | 삼성전자주식회사 | 정전척 어셈블리 및 그를 포함하는 반도체 제조장치, 그리고 정전척 온도 측정방법 |
| US20170191685A1 (en) | 2015-12-30 | 2017-07-06 | Lam Research Corporation | Self-sustained in-situ thermal control apparatus |
| US9412648B1 (en) | 2016-01-11 | 2016-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Via patterning using multiple photo multiple etch |
| US10923381B2 (en) | 2016-01-19 | 2021-02-16 | Sumitomo Osaka Cement Co., Ltd. | Electrostatic chuck device |
| DE102016100963A1 (de) | 2016-01-21 | 2017-07-27 | Knorr-Bremse Systeme für Schienenfahrzeuge GmbH | Luftversorgungsanlage |
| US20170213960A1 (en) | 2016-01-26 | 2017-07-27 | Arm Ltd. | Fabrication and operation of correlated electron material devices |
| KR20170090194A (ko) | 2016-01-28 | 2017-08-07 | 삼성전자주식회사 | 복수 개의 가스 배출관 들 및 가스 센서들을 가진 반도체 소자 제조 설비 |
| US10153351B2 (en) | 2016-01-29 | 2018-12-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
| CA2920646A1 (en) | 2016-02-12 | 2017-08-12 | Seastar Chemicals Inc. | Organometallic compound and method |
| JP6240695B2 (ja) | 2016-03-02 | 2017-11-29 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
| US10018920B2 (en) | 2016-03-04 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography patterning with a gas phase resist |
| US10134581B2 (en) | 2016-03-13 | 2018-11-20 | Applied Materials, Inc. | Methods and apparatus for selective dry etch |
| CN205448240U (zh) | 2016-03-15 | 2016-08-10 | 核工业理化工程研究院华核新技术开发公司 | 一种高效型移动式自循环核级空气净化器 |
| JP6690496B2 (ja) | 2016-03-17 | 2020-04-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
| KR101758892B1 (ko) | 2016-03-18 | 2017-07-17 | 정예호 | 고효율 저소음용 미니 청소기 |
| US9837355B2 (en) | 2016-03-22 | 2017-12-05 | International Business Machines Corporation | Method for maximizing air gap in back end of the line interconnect through via landing modification |
| USD807494S1 (en) | 2016-03-24 | 2018-01-09 | Lg Electronics Inc. | Cover for air purifier with humidifier |
| US9850161B2 (en) | 2016-03-29 | 2017-12-26 | Applied Materials, Inc. | Fluoride glazes from fluorine ion treatment |
| USD793526S1 (en) | 2016-04-08 | 2017-08-01 | Applied Materials, Inc. | Showerhead for a semiconductor processing chamber |
| USD794753S1 (en) | 2016-04-08 | 2017-08-15 | Applied Materials, Inc. | Showerhead for a semiconductor processing chamber |
| WO2017184223A1 (en) | 2016-04-22 | 2017-10-26 | Applied Materials, Inc. | Substrate support pedestal having plasma confinement features |
| US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
| CN106011785B (zh) | 2016-06-07 | 2018-10-16 | 上海纳米技术及应用国家工程研究中心有限公司 | 一种原子层沉积制备高均匀性Nb掺杂TiO2透明导电薄膜的方法 |
| JP2017220011A (ja) | 2016-06-07 | 2017-12-14 | 株式会社神戸製鋼所 | 積層膜、表示装置及び入力装置 |
| US9850573B1 (en) | 2016-06-23 | 2017-12-26 | Applied Materials, Inc. | Non-line of sight deposition of erbium based plasma resistant ceramic coating |
| USD829306S1 (en) | 2016-07-06 | 2018-09-25 | Asm Ip Holding B.V. | Shower plate |
| US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| EP3282037B1 (en) | 2016-08-09 | 2022-12-07 | IMEC vzw | Formation of a transition metal nitride |
| TW201825623A (zh) | 2016-08-30 | 2018-07-16 | 美商康寧公司 | 用於片材接合的矽氧烷電漿聚合物 |
| US10229851B2 (en) | 2016-08-30 | 2019-03-12 | International Business Machines Corporation | Self-forming barrier for use in air gap formation |
| AU201711335S (en) | 2016-09-08 | 2017-03-29 | Battlemax Pty Ltd | Suction Cover |
| US10876205B2 (en) | 2016-09-30 | 2020-12-29 | Asm Ip Holding B.V. | Reactant vaporizer and related systems and methods |
| US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
| FR3057102A1 (fr) | 2016-10-05 | 2018-04-06 | Stmicroelectronics Sa | Procede de depot par epitaxie en phase gazeuse |
| US9842835B1 (en) | 2016-10-10 | 2017-12-12 | International Business Machines Corporation | High density nanosheet diodes |
| TWI721216B (zh) | 2016-10-13 | 2021-03-11 | 美商應用材料股份有限公司 | 用於電漿處理裝置中的腔室部件、包含其之裝置及製造其之方法 |
| US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
| CN206145834U (zh) | 2016-11-01 | 2017-05-03 | 深圳信息职业技术学院 | 一种可移动式空气净化装置 |
| US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
| US10801106B2 (en) | 2016-12-15 | 2020-10-13 | Asm Ip Holding B.V. | Shower plate structure for exhausting deposition inhibiting gas |
| USD834686S1 (en) | 2016-12-15 | 2018-11-27 | Asm Ip Holding B.V. | Shower plate |
| US10186400B2 (en) | 2017-01-20 | 2019-01-22 | Applied Materials, Inc. | Multi-layer plasma resistant coating by atomic layer deposition |
| CN106895521A (zh) | 2017-03-01 | 2017-06-27 | 大连葆光节能空调设备厂 | 恒温、恒湿、恒净静室内空气系统 |
| CA176724S (en) | 2017-03-02 | 2018-07-03 | Ebm Papst Landshut Gmbh | Engine cap |
| JP6949515B2 (ja) | 2017-03-15 | 2021-10-13 | ソニーセミコンダクタソリューションズ株式会社 | カメラモジュール及びその製造方法、並びに、電子機器 |
| US9911595B1 (en) | 2017-03-17 | 2018-03-06 | Lam Research Corporation | Selective growth of silicon nitride |
| US10975469B2 (en) | 2017-03-17 | 2021-04-13 | Applied Materials, Inc. | Plasma resistant coating of porous body by atomic layer deposition |
| JP6703496B2 (ja) | 2017-03-27 | 2020-06-03 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
| US10319582B2 (en) | 2017-04-27 | 2019-06-11 | Lam Research Corporation | Methods and apparatus for depositing silicon oxide on metal layers |
| US10157785B2 (en) | 2017-05-01 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
| US20180327892A1 (en) | 2017-05-10 | 2018-11-15 | Applied Materials, Inc. | Metal oxy-flouride films for chamber components |
| KR102684628B1 (ko) | 2017-05-16 | 2024-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 유전체 상에 옥사이드의 선택적 peald |
| US11177127B2 (en)* | 2017-05-24 | 2021-11-16 | Versum Materials Us, Llc | Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films |
| JP7256135B2 (ja) | 2017-06-23 | 2023-04-11 | メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング | 選択的な膜成長のための原子層堆積の方法 |
| KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
| US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
| JP6925196B2 (ja) | 2017-07-31 | 2021-08-25 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
| US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
| US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
| US10622236B2 (en) | 2017-08-30 | 2020-04-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for handling wafer carrier doors |
| US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
| US20190078200A1 (en) | 2017-09-08 | 2019-03-14 | Applied Materials, Inc. | Fluorinated rare earth oxide ald coating for chamber productivity enhancement |
| CN107675144A (zh) | 2017-09-15 | 2018-02-09 | 武汉华星光电技术有限公司 | 等离子体增强化学气相沉积装置 |
| US10468501B2 (en) | 2017-09-29 | 2019-11-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gap-filling germanium through selective bottom-up growth |
| KR102177472B1 (ko)* | 2017-09-29 | 2020-11-11 | 주식회사 테스 | 그래핀 옥사이드 증착용 소스 및 이를 이용한 그래핀 옥사이드 박막 형성 방법 |
| US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
| KR20190042977A (ko) | 2017-10-17 | 2019-04-25 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
| US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
| US10468530B2 (en) | 2017-11-15 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with source/drain multi-layer structure and method for forming the same |
| US11948810B2 (en) | 2017-11-15 | 2024-04-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for processing substrates or wafers |
| TW202440983A (zh) | 2017-11-20 | 2024-10-16 | 美商蘭姆研究公司 | 形成金屬薄膜的方法及儀器 |
| US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
| JP7326275B2 (ja) | 2017-12-01 | 2023-08-15 | アプライド マテリアルズ インコーポレイテッド | エッチング選択性の高いアモルファスカーボン膜 |
| US10229985B1 (en) | 2017-12-04 | 2019-03-12 | International Business Machines Corporation | Vertical field-effect transistor with uniform bottom spacer |
| TWI761636B (zh) | 2017-12-04 | 2022-04-21 | 荷蘭商Asm Ip控股公司 | 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法 |
| US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
| JP7149068B2 (ja) | 2017-12-21 | 2022-10-06 | 株式会社日立ハイテク | プラズマ処理装置およびプラズマ処理方法 |
| US11149350B2 (en) | 2018-01-10 | 2021-10-19 | Asm Ip Holding B.V. | Shower plate structure for supplying carrier and dry gas |
| US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
| KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
| TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
| US10332747B1 (en) | 2018-01-24 | 2019-06-25 | Globalfoundries Inc. | Selective titanium nitride deposition using oxides of lanthanum masks |
| US11098069B2 (en) | 2018-01-30 | 2021-08-24 | Versum Materials Us, Llc | Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films |
| USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
| US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
| WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
| US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
| US10756186B2 (en) | 2018-04-12 | 2020-08-25 | Sandisk Technologies Llc | Three-dimensional memory device including germanium-containing vertical channels and method of making the same |
| US11462387B2 (en) | 2018-04-17 | 2022-10-04 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
| KR102806630B1 (ko) | 2018-05-03 | 2025-05-12 | 램 리써치 코포레이션 | 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법 |
| KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
| EP3791231A4 (en) | 2018-05-11 | 2022-01-26 | Lam Research Corporation | PROCESS FOR THE MANUFACTURE OF EUV SAMPLE HARD MASKS |
| CN110473819B (zh) | 2018-05-11 | 2020-12-08 | 北京北方华创微电子装备有限公司 | 一种开门装置、传输腔室和半导体处理设备 |
| US10665505B2 (en) | 2018-05-22 | 2020-05-26 | International Business Machines Corporation | Self-aligned gate contact isolation |
| CN109075167B (zh) | 2018-05-24 | 2020-08-25 | 长江存储科技有限责任公司 | 用于修复衬底晶格以及选择性外延处理的方法 |
| US20190362989A1 (en) | 2018-05-25 | 2019-11-28 | Applied Materials, Inc. | Substrate manufacturing apparatus and methods with factory interface chamber heating |
| US10741641B2 (en) | 2018-06-20 | 2020-08-11 | International Business Machines Corporation | Dielectric isolation and SiGe channel formation for integration in CMOS nanosheet channel devices |
| KR102854019B1 (ko) | 2018-06-27 | 2025-09-02 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
| TWI873894B (zh) | 2018-06-27 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
| WO2020003047A1 (ja) | 2018-06-29 | 2020-01-02 | 株式会社半導体エネルギー研究所 | 半導体装置、および半導体装置の作製方法 |
| US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
| US20210140043A1 (en) | 2018-07-26 | 2021-05-13 | Lam Research Corporation | Deposition of pure metal films |
| KR102501287B1 (ko) | 2018-07-30 | 2023-02-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법 |
| CN109000352A (zh) | 2018-08-03 | 2018-12-14 | 珠海格力电器股份有限公司 | 风道模块、设有其的风道结构及空调 |
| US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
| CN108910843A (zh) | 2018-08-13 | 2018-11-30 | 中国工程物理研究院化工材料研究所 | 一种推进剂燃料的制备方法 |
| US12230475B2 (en) | 2018-08-14 | 2025-02-18 | Tokyo Electron Limited | Systems and methods of control for plasma processing |
| US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| US11282938B2 (en) | 2018-09-28 | 2022-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Capping layers in metal gates of transistors |
| US20200109484A1 (en) | 2018-10-03 | 2020-04-09 | Asm Ip Holding B.V. | Susceptor and susceptor coating method |
| US10825828B2 (en) | 2018-10-11 | 2020-11-03 | Micron Technology, Inc. | Semiconductor devices and systems with channel openings or pillars extending through a tier stack, and methods of formation |
| USD864134S1 (en) | 2018-10-24 | 2019-10-22 | Asm Ip Holding B.V. | Susceptor |
| US11081584B2 (en) | 2018-10-30 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices using a capping layer in forming gate electrode and semiconductor devices |
| US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
| JP7705347B2 (ja) | 2018-12-05 | 2025-07-09 | ラム リサーチ コーポレーション | ボイドフリーの低応力充填 |
| US10777445B2 (en) | 2018-12-06 | 2020-09-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate transfer method |
| WO2020117371A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Ground electrode formed in an electrostatic chuck for a plasma processing chamber |
| US20200203157A1 (en) | 2018-12-20 | 2020-06-25 | Nanya Technology Corporation | Method for preparing multiplayer structure |
| USD914620S1 (en) | 2019-01-17 | 2021-03-30 | Asm Ip Holding B.V. | Vented susceptor |
| US10704143B1 (en) | 2019-01-25 | 2020-07-07 | Asm Ip Holding B.V. | Oxide film forming method |
| USD881338S1 (en) | 2019-02-26 | 2020-04-14 | Ziyong Chen | Filter |
| JP7502039B2 (ja) | 2019-03-28 | 2024-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置 |
| USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
| US20200395199A1 (en) | 2019-06-14 | 2020-12-17 | Asm Ip Holding B.V. | Substrate treatment apparatus and method of cleaning inside of chamber |
| US12169361B2 (en) | 2019-07-30 | 2024-12-17 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
| KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
| KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
| US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
| US11133416B2 (en) | 2019-08-23 | 2021-09-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming semiconductor devices having plural epitaxial layers |
| KR20210028093A (ko) | 2019-08-29 | 2021-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 유전체 층을 포함하는 구조체 및 이를 형성하는 방법 |
| CN112442674A (zh) | 2019-09-03 | 2021-03-05 | Asm Ip私人控股有限公司 | 用于沉积硫族化物膜的方法和设备以及包括膜的结构 |
| KR102806450B1 (ko) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
| KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US20210071296A1 (en) | 2019-09-06 | 2021-03-11 | Asm Ip Holding B.V. | Exhaust component cleaning method and substrate processing apparatus including exhaust component |
| US20210082692A1 (en) | 2019-09-17 | 2021-03-18 | Asm Ip Holding B.V. | Method of forming a carbon-containing layer and structure including the layer |
| US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
| CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
| CN114467162A (zh) | 2019-10-07 | 2022-05-10 | 应用材料公司 | 用于基板支撑件的集成电极和接地平面 |
| WO2021072042A1 (en) | 2019-10-08 | 2021-04-15 | Lam Research Corporation | Positive tone development of cvd euv resist films |
| TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
| TW202128273A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法 |
| KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
| TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
| US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
| TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
| US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
| KR102845724B1 (ko) | 2019-10-21 | 2025-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
| KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
| KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
| KR20210057664A (ko) | 2019-11-11 | 2021-05-21 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 옥사이드를 포함한 구조물을 형성하는 방법 |
| US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
| KR102861314B1 (ko) | 2019-11-20 | 2025-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
| US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
| CN112951697B (zh) | 2019-11-26 | 2025-07-29 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112885692B (zh) | 2019-11-29 | 2025-08-15 | Asmip私人控股有限公司 | 基板处理设备 |
| CN120432376A (zh) | 2019-11-29 | 2025-08-05 | Asm Ip私人控股有限公司 | 基板处理设备 |
| CN112992637B (zh) | 2019-12-02 | 2025-06-10 | Asmip私人控股有限公司 | 衬底支撑板、包括它的衬底处理设备以及衬底处理方法 |
| JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
| KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| JP2021091968A (ja) | 2019-12-06 | 2021-06-17 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、べベルマスク、基板処理方法 |
| CN112981372B (zh) | 2019-12-12 | 2024-02-13 | Asm Ip私人控股有限公司 | 衬底支撑板、包括它的衬底处理设备以及衬底处理方法 |
| US11349069B2 (en)* | 2019-12-16 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company Limited | Resistive memory devices using a carbon-based conductor line and methods for forming the same |
| KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
| KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| TWI888453B (zh) | 2020-01-06 | 2025-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 過濾系統、過濾板、及反應器系統 |
| JP7636892B2 (ja) | 2020-01-06 | 2025-02-27 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
| JP7730637B2 (ja) | 2020-01-06 | 2025-08-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
| US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
| US11401602B2 (en) | 2020-01-10 | 2022-08-02 | Applied Materials, Inc. | Catalyst enhanced seamless ruthenium gap fill |
| KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
| KR20210093758A (ko) | 2020-01-17 | 2021-07-28 | 에이에스엠 아이피 홀딩 비.브이. | 적산값을 모니터링하는 기판 처리 장치 및 기판 처리 방법 |
| KR20210094462A (ko) | 2020-01-20 | 2021-07-29 | 에이에스엠 아이피 홀딩 비.브이. | 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템 |
| KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
| KR20210095798A (ko) | 2020-01-23 | 2021-08-03 | 에이에스엠 아이피 홀딩 비.브이. | 반응 챔버 압력을 안정화하기 위한 시스템 및 방법 |
| TWI889744B (zh) | 2020-01-29 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 污染物捕集系統、及擋板堆疊 |
| TW202513845A (zh) | 2020-02-03 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置結構及其形成方法 |
| KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
| KR20210100535A (ko) | 2020-02-05 | 2021-08-17 | 에이에스엠 아이피 홀딩 비.브이. | 탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템 |
| US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
| KR102863063B1 (ko) | 2020-02-12 | 2025-09-22 | 에이에스엠 아이피 홀딩 비.브이. | 다중 방향 반응 챔버를 갖는 반응기 시스템 |
| KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
| TW202146691A (zh) | 2020-02-13 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法 |
| KR20210105289A (ko) | 2020-02-14 | 2021-08-26 | 에이에스엠 아이피 홀딩 비.브이. | 펄스형 플라즈마 전력을 사용하여 유전체 재료 층을 형성하기 위한 방법, 이 층을 포함한 구조물 및 소자, 그리고 이 층을 형성하기 위한 시스템 |
| TWI855223B (zh) | 2020-02-17 | 2024-09-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法 |
| US20210265158A1 (en) | 2020-02-25 | 2021-08-26 | Asm Ip Holding B.V. | Method of forming low-k material layer, structure including the layer, and system for forming same |
| CN113410160A (zh) | 2020-02-28 | 2021-09-17 | Asm Ip私人控股有限公司 | 专用于零件清洁的系统 |
| KR20210113043A (ko) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 정렬 고정구 |
| KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
| KR20220116024A (ko) | 2020-03-11 | 2022-08-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 촉매화된 증착을 사용하는 갭 충전 방법들 |
| US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
| KR102775390B1 (ko) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
| CN113394067A (zh) | 2020-03-13 | 2021-09-14 | Asm Ip私人控股有限公司 | 基板处理设备 |
| US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
| KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
| TWI887376B (zh) | 2020-04-03 | 2025-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置的製造方法 |
| TWI888525B (zh) | 2020-04-08 | 2025-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
| KR20210127087A (ko) | 2020-04-10 | 2021-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
| KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
| KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
| US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
| US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
| KR20210129598A (ko) | 2020-04-17 | 2021-10-28 | 에이에스엠 아이피 홀딩 비.브이. | 수직형 퍼니스의 반응기 내에 배열되도록 구성된 인젝터와 수직형 퍼니스 |
| KR20210130646A (ko) | 2020-04-21 | 2021-11-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 방법 |
| Publication number | Publication date |
|---|---|
| US12068154B2 (en) | 2024-08-20 |
| TW202138607A (zh) | 2021-10-16 |
| TWI887394B (zh) | 2025-06-21 |
| CN113529044A (zh) | 2021-10-22 |
| US20210320003A1 (en) | 2021-10-14 |
| CN113529044B (zh) | 2025-08-12 |
| Publication | Publication Date | Title |
|---|---|---|
| KR20210127620A (ko) | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 | |
| CN111048400B (zh) | 通过循环cvd形成保形碳化硅膜的方法 | |
| KR102451694B1 (ko) | 기판 상의 구조물 형성 방법 | |
| US8563443B2 (en) | Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen | |
| KR20210100535A (ko) | 탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템 | |
| KR20210028093A (ko) | 유전체 층을 포함하는 구조체 및 이를 형성하는 방법 | |
| KR20190009245A (ko) | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 | |
| KR20180116761A (ko) | 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법 | |
| KR20040047119A (ko) | 반도체 소자의 박막 형성 및 그 제어 방법 | |
| US20230407465A1 (en) | METHOD OF FORMING SiOCN LAYER | |
| KR20240108274A (ko) | 붕탄질화물 증착 방법 및 시스템 | |
| KR20220143579A (ko) | 유동성 탄소 층으로 갭을 충진하는 방법 | |
| KR20220115784A (ko) | 기판의 표면 상에 붕소 질화물을 형성하기 위한 방법 및 시스템 | |
| US20240014030A1 (en) | Method for selective deposition of silicon nitride and structure including selectively-deposited silicon nitride layer | |
| US12031205B2 (en) | Method and system for forming a conformal silicon carbon nitride layer and structure formed using same | |
| US20240318311A1 (en) | Method for reducing incubation period of silicon nitride layer deposition, structure formed using the method, and system for performing the method | |
| US20250226214A1 (en) | Methods and apparatuses for filling a gap | |
| US12359312B2 (en) | Method and system for forming a silicon oxycarbide layer and structure formed using same | |
| US12100597B2 (en) | Method and system for forming patterned structures including silicon nitride | |
| US12276021B2 (en) | Methods of forming phosphosilicate glass layers, structures formed using the methods and systems for performing the methods | |
| US20250320601A1 (en) | Method and system for forming a silicon oxycarbide layer and structure formed using same | |
| KR20240062997A (ko) | 포토레지스트 접착 층 특성을 조정하기 위한 방법 및 시스템 | |
| KR20240007601A (ko) | 기판 표면 상에 응축 가능한 재료를 증착하는 방법 | |
| CN119194396A (zh) | 用于沉积过渡金属膜的方法、系统和设备 | |
| JP2023162144A (ja) | シリコンオキシカーバイド層を形成するためのプラズマ強化方法およびシステムならびにそれを使用して形成された構造 |
| Date | Code | Title | Description |
|---|---|---|---|
| PA0109 | Patent application | Patent event code:PA01091R01D Comment text:Patent Application Patent event date:20210401 | |
| PG1501 | Laying open of application | ||
| A201 | Request for examination | ||
| PA0201 | Request for examination | Patent event code:PA02012R01D Patent event date:20240318 Comment text:Request for Examination of Application Patent event code:PA02011R01I Patent event date:20210401 Comment text:Patent Application |