【0001】[0001]
【発明の属する技術分野】本発明は半導体装置の製造分
野等において適用されるドライエッチング方法に関し、
特に窒化シリコン系材料層に対して選択比を大きく確保
しながら酸化シリコン系材料層をエッチングする方法に
関する。The present invention relates to a dry etching method applied in the field of manufacturing semiconductor devices,
In particular, the present invention relates to a method of etching a silicon oxide based material layer while ensuring a large selection ratio with respect to the silicon nitride based material layer.
【0002】[0002]
【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置の高集積化および高性能化が進展する
に伴い、絶縁膜のドライエッチングにおいても、高異方
性,高速性,高選択性,低ダメージ性,低汚染性といっ
た諸要求をいずれをも犠牲にすることなく達成する技術
が強く望まれている。2. Description of the Related Art With the progress of higher integration and higher performance of semiconductor devices as seen in VLSI, ULSI, etc. in recent years, high anisotropy, high speed, and high selectivity also in dry etching of insulating films. There is a strong demand for a technology that achieves various requirements such as high performance, low damage, and low pollution without sacrificing any of them.
【0003】従来、絶縁膜の代表例である酸化シリコン
系材料膜(以下、SiOx膜と称する。)をドライエッ
チングするには、CHF3 、CF4 /H2 混合系、CF
4 /O2 混合系、C2 F6/CHF3 混合系等がエッチ
ング・ガスとして典型的に使用されてきた。これらは、
いずれもC/F比(分子内の炭素原子数とフッ素原子数
の比)が0.25以上のフルオロカーボン系ガスを主体
としている。これらのガス系が使用されるのは、(a)
フルオロカーボン系ガスに含まれるCがSiOx膜の表
面でC−O結合を生成し、Si−O結合を切断したり弱
めたりする働きがある、(b)SiOx膜の主エッチン
グ種であるCFn+ (特にn=3) を生成できる、さら
に(c)プラズマ中で相対的に炭素に富む状態が作り出
されるので、SiOx膜中の酸素がCO,CO2の形で
除去される一方、ガス系に含まれるC,H,F等の寄与
でシリコン系材料からなる下地の表面では炭素系のポリ
マーが堆積してエッチング速度が低下し、対下地選択比
を高くとることができる、等の理由にもとづいている。Conventionally, dry etching of a silicon oxide material film (hereinafter referred to as a SiOx film), which is a typical example of an insulating film, is performed by CHF3 , CF4 / H2 mixed system, CF.
A 4 / O2 mixed system, a C2 F6 / CHF3 mixed system, etc. have typically been used as the etching gas. They are,
In both cases, a C / F ratio (ratio between the number of carbon atoms and the number of fluorine atoms in the molecule) is mainly 0.25 or more fluorocarbon gas. These gas systems are used in (a)
C contained in the fluorocarbon-based gas forms a C—O bond on the surface of the SiOx film and has a function of cutting or weakening the Si—O bond. (B) CFn+ (which is the main etching species of the SiOx film) In particular, n = 3) can be produced, and (c) a relatively carbon-rich state is created in the plasma, so that oxygen in the SiOx film is removed in the form of CO and CO2 , while it is included in the gas system. The carbon-based polymer is deposited on the surface of the underlayer made of a silicon-based material due to the contribution of C, H, F, etc., and the etching rate is lowered, so that the selection ratio to the underlayer can be increased. There is.
【0004】なお、上記のH2 ,O2 等の添加ガスは選
択比の制御を目的として用いられているものであり、そ
れぞれF* 発生量を低減もしくは増大させることができ
る。つまり、これらの添加ガスは、エッチング反応系の
見掛け上のC/F比を制御する役目を果たしている。[0004] The addition gases H2, O2, etc. described above are those which are used for the purpose of controlling the selection ratio, it is possible to reduce or increase the F* generation amount, respectively. That is, these additive gases play the role of controlling the apparent C / F ratio of the etching reaction system.
【0005】[0005]
【発明が解決しようとする課題】ところで近年では、デ
バイス構造の複雑化に伴い、SiOx膜のドライエッチ
ングにおける選択性を上述のようにシリコン系材料に対
するのみならず、窒化シリコン系材料膜(以下、Six
Ny膜と称する。)に対しても十分に確保しながら行う
必要が生じている。かかる必要性が生ずる場合とは、た
とえば、(i) コンタクト・ホール加工における基板ダメ
ージを低減させるためにSiOx層間絶縁膜の下地膜と
して薄いSixNy膜を形成する場合、(ii) MOSト
ランジスタのゲート絶縁膜がSiOx/SixNy/S
iOxの3層構造(いわゆるONO構造)をとる場合、
あるいは(iii) 自己整合コンタクト・プロセスにおい
て、SiOx層間絶縁膜のエッチング停止膜あるいは下
層配線のサイドウォールとしてSixNy膜を形成する
場合である。By the way, in recent years, due to the complexity of the device structure, the selectivity in dry etching of the SiOx film is not limited to the silicon-based material as described above, but the silicon nitride-based material film (hereinafter, Six
It is called a Ny film. ), It is necessary to do so while sufficiently securing. The cases where such a necessity arises include, for example, (i) when a thin SixNy film is formed as a base film of a SiOx interlayer insulating film in order to reduce substrate damage during contact hole processing, (ii) gate insulation of a MOS transistor The film is SiOx / SixNy / S
When a three-layer structure of iOx (so-called ONO structure) is adopted,
Alternatively, (iii) in the self-aligned contact process, a SixNy film is formed as an etching stop film of the SiOx interlayer insulating film or as a sidewall of the lower wiring.
【0006】しかしながら、SiOx膜とSixNy膜
との間では、各々を構成するSi−O結合(原子間結合
エネルギー=465kJ/mol)とSi−N結合(同
440kJ/mol)とがエネルギー的に近接してお
り、エッチング・ガスも基本的に同じであることから、
互いに選択性を確保することが難しい。ただし、SiO
x膜がイオン・アシスト反応を主体とする機構によりエ
ッチングされるのに対し、SixNy膜はF* を主エッ
チング種とするラジカル反応機構にもとづいてエッチン
グされ、エッチング速度もSiOx膜より若干速い。こ
のため、SiOx膜上におけるSixNy膜のドライエ
ッチングについては、エッチング反応系のラジカル性を
高めることで対処可能であり、これまでにも幾つかのプ
ロセスが提案されてきた。However, between the SiOx film and the SixNy film, the Si--O bond (interatomic bond energy = 465 kJ / mol) and the Si--N bond (440 kJ / mol) constituting each of them are energetically close to each other. Since the etching gas is basically the same,
It is difficult to secure selectivity with each other. However, SiO
The x film is etched by a mechanism mainly composed of ion-assisted reaction, whereas the SixNy film is etched by a radical reaction mechanism whose main etching species is F* , and the etching rate is slightly higher than that of the SiOx film. Therefore, the dry etching of the SixNy film on the SiOx film can be dealt with by increasing the radical property of the etching reaction system, and several processes have been proposed so far.
【0007】しかし、その逆のSixNy膜上における
SiOx膜のエッチングでは、選択性の確保は困難であ
る。なぜなら、イオン・アシスト反応を主体とする機構
によりSiOx膜をエッチングしていても、その反応系
中には必ずラジカルが生成しており、SixNy膜が露
出した時点でこのラジカルにより該SixNy膜のエッ
チング速度が上昇してしまうからである。この問題を解
決するための手法として、本願出願人は先に特開平4−
354331号公報において、S2 F2 等のフッ化イオ
ウをエッチング・ガスとして使用し、このときプラズマ
中に放出されるイオウ(S)をSixNy膜の露出面上
に堆積させて選択性を確保する方法を開示している。し
かし、この他に公知の手法は極めて少なく、プロセスの
選択枝が限られているのが実情である。However, it is difficult to secure selectivity by the opposite etching of the SiOx film on the SixNy film. This is because, even when the SiOx film is etched by a mechanism mainly composed of ion-assisted reaction, radicals are always generated in the reaction system, and when the SixNy film is exposed, the radicals are used to etch the SixNy film. This is because the speed will increase. As a method for solving this problem, the applicant of the present application has previously described in Japanese Unexamined Patent Publication No.
In Japanese Patent No. 354331, sulfur fluoride such as S2 F2 is used as an etching gas, and at this time, sulfur (S) released into plasma is deposited on the exposed surface of the SixNy film to ensure selectivity. A method is disclosed. However, there are very few known methods other than this, and the fact is that the process selection options are limited.
【0008】そこで本発明は、SixNy膜に対して高
い選択性を確保しながらSiOx膜をエッチングするこ
とが可能なドライエッチング方法を提供することを目的
とする。Therefore, an object of the present invention is to provide a dry etching method capable of etching a SiOx film while ensuring a high selectivity with respect to the SixNy film.
【0009】[0009]
【課題を解決するための手段】本発明のドライエッチン
グ方法は、上述の目的を達するために提案されるもので
あり、SixNy系材料膜に対して選択性を確保しなが
らSiOx系材料膜をドライエッチングするために、大
別して2通りの考え方にもとづいている。The dry etching method of the present invention is proposed in order to achieve the above-mentioned object, and dry the SiOx-based material film while ensuring selectivity with respect to the SixNy-based material film. There are roughly two ways of thinking for etching.
【0010】そのひとつは、プラズマと接触することで
窒化シリコン系化学種を放出可能な内部構成部材を有す
るエッチング・チャンバ内で、SiOx系材料膜のエッ
チングを行うことである。このとき用いるエッチング・
ガスとしては、従来から用いられているフルオロカーボ
ン系化合物を含むガスを用いることができる。One of them is to perform etching of the SiOx-based material film in an etching chamber having an internal constituent member capable of releasing a silicon nitride-based chemical species by being brought into contact with plasma. Etching used at this time
As the gas, a gas containing a conventionally used fluorocarbon compound can be used.
【0011】上記SiOx系材料膜のエッチングは単独
ステップで行っても良いが、より高い下地選択性や低ダ
メージ性を達成するために、該SiOx膜を実質的にそ
の膜厚分だけエッチングするジャストエッチング工程
と、その残余部をエッチングするオーバーエッチング工
程の2ステップに分けても良い。このとき、シャッタ等
の遮蔽部材を操作して上記内部構成部材のプラズマ接触
面積を変化させることにより、オーバーエッチング工程
における堆積物の供給を強化することが有効である。さ
らにあるいは、前記オーバーエッチング工程において、
放電解離条件下でプラズマ中に遊離のイオウを放出可能
なイオウ系化合物を含むエッチング・ガスを用いても良
い。The etching of the SiOx-based material film may be performed in a single step, but in order to achieve higher underlayer selectivity and lower damage, the SiOx film is just etched by substantially its film thickness. It may be divided into two steps, an etching step and an over-etching step of etching the remaining portion. At this time, it is effective to enhance the supply of deposits in the over-etching step by operating a shielding member such as a shutter to change the plasma contact area of the internal constituent members. Further alternatively, in the overetching step,
An etching gas containing a sulfur-based compound capable of releasing free sulfur into plasma under discharge dissociation conditions may be used.
【0012】なお、本発明において選択性を確保すべき
相手であるSixNy系材料膜とは、化学式Si3 N4
で表される化学量論的組成から若干ずれたり、あるいは
その成膜方法に起因して取り込まれる酸素や水素等の混
入元素を含む材料も含む総称とする。In the present invention, the SixNy-based material film, which is the partner to ensure the selectivity, has the chemical formula Si3 N4
It is a general term that also includes a material containing a mixed element such as oxygen or hydrogen that is slightly deviated from the stoichiometric composition represented by or is taken in due to the film forming method.
【0013】また、本発明のもうひとつの考え方とは、
放電解離条件下でプラズマ中にカルボニル系化学種とイ
オウ系化学種とを放出可能なエッチング・ガスを用い
て、SiOxのエッチングを行うことである。このと
き、基板温度を室温以下に制御しながら前記エッチング
を行うことが好適である。また、前記エッチング・ガス
として硫化カルボニルを含むガスを用いれば、カルボニ
ル系化学種とイオウ系化学種とを同一分子から供給する
ことができる。なお、このときのエッチング・ガスの主
体は、従来どおりフルオロカーボン系化合物として良
い。Another concept of the present invention is that
Etching of SiOx is performed using an etching gas capable of releasing carbonyl-based species and sulfur-based species into plasma under discharge dissociation conditions. At this time, it is preferable to perform the etching while controlling the substrate temperature at room temperature or lower. If a gas containing carbonyl sulfide is used as the etching gas, the carbonyl-based chemical species and the sulfur-based chemical species can be supplied from the same molecule. The main component of the etching gas at this time may be a fluorocarbon compound as in the conventional case.
【0014】[0014]
【発明の実施の形態】第1の実施の形態 本発明のドライエッチング方法の第1の実施の形態で
は、プラズマとの接触により窒化シリコン系化学種を放
出可能な内部構成部材を有するエッチング・チャンバ内
で、SiOx膜のドライエッチングを行う。この時のエ
ッチング・ガスは、従来より用いられているフルオロカ
ーボン系ガスで良い。ここで、内部構成部材からプラズ
マ中のイオンのスパッタリング作用により放出された何
らかの堆積性物質が被エッチング領域の中のイオン入射
面に堆積すると、この面では堆積過程とその除去過程
(スパッタリング過程)とが競合するために表面保護効
果が発揮され、エッチング速度が低下する。本発明では
この堆積性物質がSixNyであるため、SixNy膜
に対して高い選択性を確保しなければならない系におい
て不要な汚染を招かずに、有効に表面保護を行うことが
できる。BEST MODE FOR CARRYING OUT THE INVENTIONFirst Embodiment In a first embodiment of the dry etching method according to the present invention, an etching chamber having an internal component capable of releasing a silicon nitride chemical species by contact with plasma. Dry etching of the SiOx film is performed therein. The etching gas at this time may be a conventionally used fluorocarbon-based gas. Here, when some kind of depositable substance released from the internal components by the sputtering action of ions in plasma is deposited on the ion incident surface in the region to be etched, the deposition process and its removal process (sputtering process) occur on this surface. , The surface protection effect is exerted and the etching rate is reduced. In the present invention, since the depositable substance is SixNy, surface protection can be effectively performed without causing unnecessary contamination in a system in which high selectivity is required for the SixNy film.
【0015】前記内部構成部材は、エッチング・チャン
バの内部でプラズマと接触し得る表面を有する部材であ
れば、いかなるものであっても良い。ただし、基板に対
してある程度近い位置から均一かつ十分に窒化シリコン
を供給する観点から選択すると、特にエッチング・チャ
ンバの内壁面か基板クランプの少なくとも一方とするの
が適当である。かかる内壁面としては、たとえば平行平
板型RIE装置,有磁場マイクロ波プラズマ・エッチャ
ー,誘導結合プラズマ・エッチャー,あるいはヘリコン
波プラズマ・エッチャーのエッチング・チャンバの側壁
面がある。また、ヘリコン波プラズマ・エッチャーや一
部の有磁場マイクロ波プラズマ・エッチャーの様に、プ
ラズマ生成室とエッチング・チャンバとが分離されたい
わゆるリモート・プラズマ型のエッチャーでは、エッチ
ング・チャンバの天井部分も一部使用できる。The internal component may be any member that has a surface that can come into contact with plasma inside the etching chamber. However, when it is selected from the viewpoint of supplying silicon nitride uniformly and sufficiently from a position close to the substrate to some extent, at least one of the inner wall surface of the etching chamber and the substrate clamp is suitable. The inner wall surface is, for example, a parallel plate type RIE apparatus, a magnetic field microwave plasma etcher, an inductively coupled plasma etcher, or a sidewall surface of an etching chamber of a helicon wave plasma etcher. Also, in the so-called remote plasma type etcher in which the plasma generation chamber and the etching chamber are separated, such as the helicon wave plasma etcher and some magnetic field microwave plasma etchers, the ceiling of the etching chamber also Some can be used.
【0016】なお、上記窒化シリコンは、コーティン
グ,貼付,成膜等の方法でプラズマ接触面に保持させ
る。もちろん、製法的に可能であれば、これらのバルク
材料を直接に加工して所望の内部構成部材を構成しても
良い。The silicon nitride is held on the plasma contact surface by a method such as coating, sticking or film formation. Of course, if the manufacturing method allows, these bulk materials may be directly processed to form a desired internal constituent member.
【0017】本発明において選択性を確保すべき相手で
あるSixNy膜は、どのような形でSiOx膜と接し
ているものであっても良い。具体的には、(i) コンタク
ト・ホール加工における基板ダメージを低減させるため
にSiOx層間絶縁膜の下地膜として形成されている場
合、(ii) いわゆるONO膜の構成要素として、SiO
x膜に挟まれている場合、(iii) SiOx膜上にエッチ
ング・マスクとして形成されている場合(iv) 自己整合
コンタクト・プロセスにおいて、SiOx層間絶縁膜の
エッチング停止膜あるいは下層配線のサイドウォールと
して形成されている場合が考えられる。この各々につい
ては、実施例で後述する。In the present invention, the SixNy film, which is the partner to ensure the selectivity, may be in any form in contact with the SiOx film. Specifically, (i) when it is formed as a base film of a SiOx interlayer insulating film in order to reduce substrate damage in the processing of contact holes, (ii) as a constituent element of a so-called ONO film, SiO
When sandwiched between x films, (iii) When formed as an etching mask on the SiOx film, (iv) In the self-aligned contact process, as an etching stop film of the SiOx interlayer insulating film or as a sidewall of the lower wiring. It may be formed. Each of these will be described later in the examples.
【0018】ここで、上記(iii) の様にSixNy膜を
エッチング・マスクとして用いる場合には、エッチング
の期間を通じて高い選択性(マスク選択性)を確保する
ことが必要なので、内部構成部材から放出される窒化シ
リコンの量は、エッチングの期間を通じてほぼ一定に制
御しておく必要がある。すなわち、内部構成部材のとプ
ラズマ接触面積を最初からある程度の値に維持しておく
ことが必要である。しかし、上記(i), (ii), (iv)の様
にSixNy膜がSiOx膜の下層側に形成されている
場合には、必ずしもそのようにする必要はなく、Six
Ny膜が露出する直前もしくは露出し始めた時点で窒化
シリコンの供給量を増大させる方が、プロセス全体を通
じたエッチング速度を低下させずに済む。すなわち、上
記の内部構成部材のプラズマ接触面積を、ジャストエッ
チング時よりもオーバーエッチング時に増大させると良
い。ジャストエッチング時には、上記プラズマ接触面積
がゼロであっても良い。Here, when the SixNy film is used as an etching mask as described in (iii) above, it is necessary to secure high selectivity (mask selectivity) throughout the etching period. The amount of silicon nitride to be removed needs to be controlled to be substantially constant throughout the etching period. That is, it is necessary to maintain the plasma contact area with the internal constituent members at a certain value from the beginning. However, when the SixNy film is formed on the lower layer side of the SiOx film as in the above (i), (ii), and (iv), it is not always necessary to do so.
Increasing the supply amount of silicon nitride immediately before the Ny film is exposed or at the time when the Ny film starts to be exposed does not reduce the etching rate throughout the process. That is, it is preferable that the plasma contact area of the internal constituent members described above is increased during over-etching rather than during just-etching. At the time of just etching, the plasma contact area may be zero.
【0019】なお、オーバーエッチング時には、放電解
離条件下でプラズマ中に遊離のイオウを放出可能なイオ
ウ系化合物を含むエッチング・ガスを用いても良い。か
かるイオウ系化合物としては、たとえばS2 F2 ,SF
2 ,SF4 ,S2 F10,S3Cl2 ,S2 Cl2 ,SC
l2 ,S3 Br2 ,S2 Br2 ,SBr2 ,H2 Sを用
いることができる。基板上に堆積したイオウは、水平面
のようなイオン入射面ではイオン・スパッタ作用を減ず
る効果を発揮し、垂直面のようなイオン非入射面では異
方性形状を確保する効果を発揮する。At the time of overetching, an etching gas containing a sulfur-based compound capable of releasing free sulfur into plasma under discharge dissociation conditions may be used. Examples of such sulfur compounds include S2 F2 and SF
2 , SF4 , S2 F10 , S3 Cl2 , S2 Cl2 , SC
l2 , S3 Br2 , S2 Br2 , SBr2 , and H2 S can be used. Sulfur deposited on the substrate exerts an effect of reducing the ion-sputtering action on an ion incident surface such as a horizontal surface, and an effect of ensuring an anisotropic shape on an ion non-incident surface such as a vertical surface.
【0020】ここで、従来の堆積性物質には見られない
イオウの最大の特色とは、これが昇華性を有することで
ある。すなわち、イオウはエッチング終了後に不要とな
れば、基板を高真空系における昇華温度より高い温度、
たとえば90℃程度に加熱すれば容易に除去することが
でき、基板上にパーティクル汚染を発生させる虞れがほ
とんど無い。あるいは、この除去をレジスト・アッシン
グを兼ねて行うこともできる。また、堆積面やプラズマ
中から窒素が供給される系であれば、化学結合の強固な
ポリチアジル(SN)xポリマーを形成し、一層効果的
な表面保護を行うことができる。この(SN)xポリマ
ーも、基板加熱による分解除去が可能である。このこと
は、選択性を確保するために過剰に堆積させた炭素系ポ
リマーが後洗浄工程でなかなか除去することができない
のに比べて、汚染防止上の大きなメリットである。Here, the greatest feature of sulfur that is not found in conventional sedimentary substances is that it has sublimation properties. That is, if the sulfur is no longer needed after the etching is completed, the substrate is heated to a temperature higher than the sublimation temperature in the high vacuum system,
For example, if it is heated to about 90 ° C., it can be easily removed, and there is almost no risk of particle contamination on the substrate. Alternatively, this removal can be performed also as resist ashing. Further, if the system is one in which nitrogen is supplied from the deposition surface or plasma, a polythiazyl (SN) x polymer having a strong chemical bond can be formed and more effective surface protection can be performed. This (SN) x polymer can also be decomposed and removed by heating the substrate. This is a great advantage in terms of preventing pollution, as compared with the case where the carbon-based polymer excessively deposited to secure the selectivity cannot be easily removed in the post-cleaning step.
【0021】この他、通常のドライエッチングで行われ
るごとく、希釈効果や冷却効果やスパッタリング効果を
得るためにエッチング・ガスにHe,Ar等の希ガスを
添加したり、あるいはO2 ,N2 等の添加ガスを併用す
ることは任意である。In addition, as is the case with ordinary dry etching, a rare gas such as He or Ar is added to the etching gas in order to obtain a dilution effect, a cooling effect, or a sputtering effect, or O2 , N2, etc. It is optional to use the above additive gas together.
【0022】ところで、前記内部構成部材のプラズマ接
触面積の変化は、遮蔽部材の操作を通じて可能である。
この遮蔽部材には、プラズマとの接触やエッチング・チ
ャンバ内での駆動により別の堆積種や新たなパーティク
ルを発生させない特性が要求される。したがって、基本
的には本来のエッチング・チャンバと同じ材料を用いて
構成すれば良い。構造や駆動方式については特に限定さ
れるものではないが、たとえば昇降式,回動式,あるい
は虹彩絞り式のシャッタとすることができる。ここで、
本発明のドライエッチングに使用されるエッチャーの構
成例の幾つかについて説明する。By the way, the plasma contact area of the internal component can be changed by operating the shielding member.
This shielding member is required to have a characteristic that another deposition species or new particles are not generated by contact with plasma or driving in the etching chamber. Therefore, basically, the same material as that of the original etching chamber may be used. The structure and driving method are not particularly limited, but for example, a lifting type, a rotating type, or an iris diaphragm type shutter can be used. here,
Some examples of the configuration of the etcher used for the dry etching of the present invention will be described.
【0023】図1に示したエッチャーは、内壁面の一部
に配されたSixNyからなるライナのプラズマ接触面
積をシャッタで可変とし、かつウェハ・クランプも窒化
シリコンを用いて構成した有磁場マイクロ波プラズマ・
エッチャーである。基本的な構成要素は、2.45GH
zのマイクロ波を発生するマグネトロン1、マイクロ波
を導く矩形導波管2および円形導波管3、上記マイクロ
波を利用してECR(電子サイクロトロン共鳴)放電に
より内部にECRプラズマPE を生成させるための石英
製のベルジャ4、上記円形導波管3と上記ベルジャ4を
周回するように配設され8.75×10-2T(875
G)の磁場強度を達成できるソレノイド・コイル5、上
記ベルジャ4に接続され、矢印A方向に高真空排気され
る試料室6、上記ベルジャ4へ処理に必要なガスをそれ
ぞれ矢印B1 ,B2 方向から供給するガス導入管7、ウ
ェハWを載置するためのウェハ・ステージ9、ウェハW
をウェハ・ステージ9へ固定するためのウェハ・クラン
プ8、ウェハ・ステージ9に埋設され、チラー等の冷却
設備から供給される冷媒を矢印C1 ,C2 方向に循環さ
せてウェハWを所定の温度に冷却するための冷却配管1
0、上記ウェハ・ステージ9にRFバイアスを印加する
ため、マッチング・ネットワーク11を介して接続され
るRF電源12等である。In the etcher shown in FIG. 1, the liner made of SixNy arranged on a part of the inner wall surface has a plasma contact area variable by a shutter, and the wafer clamp is made of silicon nitride. plasma·
It is an etcher. The basic component is 2.45GH
A magnetron 1 for generating a microwave of z, a rectangular waveguide 2 and a circular waveguide 3 for guiding the microwave, and an ECR plasma PE is internally generated by ECR (electron cyclotron resonance) discharge using the microwave. A bell jar 4 made of quartz for circling the circular waveguide 3 and the bell jar 4. 8.75 × 10-2 T (875
G) A solenoid coil 5 capable of achieving the magnetic field strength, a sample chamber 6 connected to the bell jar 4 and evacuated to a high vacuum in the direction of arrow A, and gases necessary for processing are supplied to the bell jar 4 by arrows B1 and B2 , respectively. Direction gas supply pipe 7, wafer stage 9 for mounting wafer W, wafer W
The wafer clamp 8 for fixing the wafer W to the wafer stage 9 is embedded in the wafer stage 9, and the coolant supplied from the cooling equipment such as a chiller is circulated in the directions of the arrows C1 and C2 so that the wafer W is moved to a predetermined position. Cooling pipe for cooling to temperature 1
0, an RF power source 12 and the like connected via a matching network 11 for applying an RF bias to the wafer stage 9.
【0024】ここで、上記ベルジャ4の内壁面のうちウ
ェハWの近傍部分には、SixNyからなるライナ13
を設けた。このライナ13は、ベルジャ4の内壁面を必
ずしも連続的に周回している必要はなく、たとえばブロ
ック状や板状の固体を内壁面に不連続に配したものであ
っても良い。ライナ13の形成方法としては、たとえば
適当な方法にて成膜されたフィルムもしくはブロックか
ら切り出された板状体を貼着するか、電子ビーム蒸着や
ECRスパッタリングにより内壁面上に直接成膜する方
法がある。本実施例では、電子ビーム蒸着を採用した。
なお、ウェハ・クランプ8も、同様の方法で作製するこ
とができる。Here, a liner 13 made of SixNy is provided on the inner wall surface of the bell jar 4 near the wafer W.
Was provided. The liner 13 does not necessarily have to continuously circulate on the inner wall surface of the bell jar 4, and may be, for example, a block-shaped or plate-shaped solid body discontinuously arranged on the inner wall surface. As a method of forming the liner 13, for example, a plate-like body cut out from a film or block formed by an appropriate method is attached, or a film is directly formed on the inner wall surface by electron beam evaporation or ECR sputtering. There is. In this example, electron beam evaporation was adopted.
The wafer clamp 8 can also be manufactured by a similar method.
【0025】さらに、上記ライナ13の内周側には、図
示されない駆動手段により矢印D方向に昇降可能とされ
た円筒形の昇降式シャッタ14を配設した。ここで、図
1(a)図は昇降式シャッタ14によりライナ13がほ
ぼ完全にECRプラズマPEから遮蔽された状態を示し
(シャッタ開度0%)、(b)図は上記昇降式シャッタ
14を下降させてライナ13の全面が露出された状態
(シャッタ開度100%)を示す。Further, on the inner peripheral side of the liner 13, there is provided a cylindrical elevating shutter 14 which can be moved up and down in the direction of arrow D by a driving means (not shown). Here, FIG. 1A shows a state in which the liner 13 is almost completely shielded from the ECR plasma PE by the lifting shutter 14 (shutter opening 0%), and FIG. 1B shows the lifting shutter 14 described above. Shows the state in which the entire surface of the liner 13 is exposed (shutter opening 100%).
【0026】図2は、上記昇降式シャッタ14の配設状
態をより明確に示すために、ベルジャ4の内部を一部破
断して示す斜視図である。ベルジャ4の側壁面、昇降式
シャッタ14、ウェハ・ステージ9は全て同心的な位置
関係にある。ライナ13とECRプラズマPE との接触
面積は、昇降式シャッタ14の矢印D方向の昇降距離を
変化させることにより任意に調節できる。FIG. 2 is a perspective view showing the interior of the bell jar 4 with a part broken away in order to more clearly show the arrangement of the elevating shutter 14. The side wall surface of the bell jar 4, the elevating shutter 14, and the wafer stage 9 are all in a concentric positional relationship. The contact area between the liner 13 and the ECR plasma PE can be arbitrarily adjusted by changing the lifting distance of the lifting shutter 14 in the direction of arrow D.
【0027】上記昇降式シャッタ14は、ラジカルを消
費せず、かつエッチング反応系内に不要な汚染を惹起さ
せない材料を適宜選択して構成する。かかる材料として
は、たとえばステンレス鋼等の金属材料、あるいはアル
ミナ等のセラミクス材料を使用することができる。本実
施例では、ステンレス鋼を採用した。The elevating shutter 14 is constructed by appropriately selecting a material that does not consume radicals and does not cause unnecessary contamination in the etching reaction system. As such a material, for example, a metal material such as stainless steel or a ceramic material such as alumina can be used. In this embodiment, stainless steel is used.
【0028】あるいは、上記昇降式シャッタ14に代え
て、図3に示されるような回動式シャッタ15を設置し
ても良い。この回動式シャッタ15はスリット状の開口
部16を有しており、図示されない駆動手段により矢印
E方向に回動可能である。ライナ13aは、上記開口部
16の開口パターンに倣って帯状に形成されている。こ
こで、回動式シャッタ15とライナ13aの位置関係に
ついて図4を参照しながら説明する。この図は、図3の
X−X線断面図であり、(a)図はライナ13aが回動
式シャッタ15に遮蔽された状態(シャッタ開度0
%)、(b)図はライナ13aのほぼ全面が開口部16
を介して露出された状態(シャッタ開度100%)を示
している。ライナ13aとECRプラズマPE との接触
面積は、回動式シャッタ15の回転角を変化させること
により任意に調節できる。Alternatively, a rotary shutter 15 as shown in FIG. 3 may be installed in place of the elevating shutter 14. The rotary shutter 15 has a slit-shaped opening 16 and can be rotated in the arrow E direction by a driving unit (not shown). The liner 13 a is formed in a band shape following the opening pattern of the opening 16. Here, the positional relationship between the rotary shutter 15 and the liner 13a will be described with reference to FIG. This drawing is a cross-sectional view taken along line XX of FIG. 3, and (a) is a state in which the liner 13 a is shielded by the rotary shutter 15 (shutter opening 0
%), (B) shows that the liner 13a has an opening 16 on almost the entire surface.
The state of being exposed through the shutter (shutter opening 100%) is shown. The contact area between the liner 13a and the ECR plasma PE can be arbitrarily adjusted by changing the rotation angle of the rotary shutter 15.
【0029】図5には、別のエッチャーとして、側壁電
極の表面に配された窒化シリコン・ライナのプラズマ接
触面積を回動式シャッタで可変となし、さらにウェハ・
クランプ36もSixNyを用いて構成したトライオー
ド型エッチャーを示した。このエッチャーは、チャンバ
21の天井部を構成する上部電極22と該チャンバ21
の側壁面の一部を構成する円環状の側壁電極29との間
に高周波電界を印加してグロー放電プラズマPG を発生
させ、このグロー放電プラズマPG を用いてウェハWの
ドライエッチングを行うものである。上記チャンバ21
の内部は、上部電極22の背面側に設けられた排気フー
ド23を通じて矢印F方向に高真空排気される一方で、
ガス供給管24を通じて矢印G1 ,G2 方向から所定の
エッチング・ガスの供給を受ける。In FIG. 5, as another etcher, the plasma contact area of the silicon nitride liner arranged on the surface of the side wall electrode is made variable by the rotary shutter, and the wafer
The clamp 36 also shows a triode type etcher configured by using SixNy. This etcher includes an upper electrode 22 that constitutes the ceiling of the chamber 21 and the chamber 21.
A high-frequency electric field is applied between the glow discharge plasma PG and the annular side wall electrode 29 forming a part of the side wall surface of the wafer to generate glow discharge plasma PG , and the wafer W is dry-etched using the glow discharge plasma PG. It is a thing. The chamber 21
While the inside of is highly evacuated in the direction of arrow F through an exhaust hood 23 provided on the back side of the upper electrode 22,
A predetermined etching gas is supplied through the gas supply pipe 24 in the directions of arrows G1 and G2 .
【0030】上記側壁電極29には、マッチング・ネッ
トワーク30を介してプラズマ励起用RF電源31が接
続されている。ウェハWを保持するのは、上記チャンバ
21の底面を構成する導電性のウェハ・ステージ25で
あるが、このウェハ・ステージ25は先の上部電極22
に対する対向電極ではなく、プラズマ生成とは独立に基
板バイアス印加するための電極である。この基板バイア
スは、上記プラズマ励起用RF電源31よりも周波数の
低いバイアス印加用RF電源28をマッチング・ネット
ワーク27を介してウェハ・ステージ25に接続するこ
とにより、印加される。また、上記ウェハ・ステージ2
5の内部には冷却配管26が挿通され、矢印H1 ,H2
方向に冷媒を供給・回収することでウェハWを所定の温
度に維持するようになされている。An RF power source 31 for plasma excitation is connected to the side wall electrode 29 via a matching network 30. The wafer W is held by the conductive wafer stage 25 that constitutes the bottom surface of the chamber 21, and the wafer stage 25 is the upper electrode 22.
It is an electrode for applying a substrate bias independently of plasma generation, not for the opposite electrode. This substrate bias is applied by connecting a bias applying RF power source 28 having a lower frequency than the plasma exciting RF power source 31 to the wafer stage 25 via a matching network 27. In addition, the wafer stage 2
Cooling pipe 26 is inserted into the inside of 5, and arrows H1 , H2
The wafer W is maintained at a predetermined temperature by supplying / recovering the coolant in the direction.
【0031】また、上記チャンバ21の外周側は、複数
の永久磁石34に周回されている。この永久磁石34
は、チャンバ21の壁面にS極かN極のいずれかが対面
し、かつ隣接する磁石同士で極性が互いに逆となるよう
に配列されることにより、該チャンバ21内にマルチカ
スプ磁場を形成する。また、上部電極22の背面側にも
永久磁石35が設けられている。かかる構成から、この
エッチャーは磁場閉じ込め型リアクター(MCR)とも
呼ばれており、グロー放電プラズマPG をコンパクトに
閉じ込めて高いプラズマ密度を得ることができる。The outer peripheral side of the chamber 21 is surrounded by a plurality of permanent magnets 34. This permanent magnet 34
Forms a multi-cusp magnetic field in the chamber 21 by arranging either the S pole or the N pole to face the wall surface of the chamber 21 and adjoining magnets so that their polarities are opposite to each other. A permanent magnet 35 is also provided on the back side of the upper electrode 22. Due to such a constitution, this etcher is also called a magnetic field confinement reactor (MCR), and it is possible to confine the glow discharge plasma PG compactly and obtain a high plasma density.
【0032】以上述べたところまでの構成は従来公知で
あるが、本発明では独自の工夫として、側壁電極29の
表面を部分的にSixNyよりなるライナ32で被覆
し、さらにその近傍に矢印I方向に回動可能な回動式シ
ャッタ33を設けた。この回動式シャッタ33は、図3
に示したものと類似の構造を有し、スリット状の開口部
とライナ32との位置関係により該ライナ32のプラズ
マ接触面積を可変となすものである。また、ウェハWを
ウェハ・ステージ25上に固定するウェハ・クランプ3
6もSixNyを用いて構成した。Although the constructions up to the above are publicly known, in the present invention, as a unique device, the surface of the side wall electrode 29 is partially covered with a liner 32 made of SixNy, and further in the vicinity thereof in the direction of arrow I. A rotatable shutter 33 is provided at the bottom. This rotary shutter 33 is shown in FIG.
The liner 32 has a structure similar to that shown in FIG. 3 and makes the plasma contact area of the liner 32 variable depending on the positional relationship between the slit-shaped opening and the liner 32. Further, a wafer clamp 3 for fixing the wafer W on the wafer stage 25.
6 was also constructed using SixNy.
【0033】なお、上記ライナ32を円環状の側壁電極
29の全面に配し、円筒形の昇降式シャッタでそのプラ
ズマ接触面積を変化させるようにしても、もちろん構わ
ない。It should be noted that the liner 32 may be arranged on the entire surface of the annular side wall electrode 29, and the plasma contact area may be changed by a cylindrical elevating shutter.
【0034】図6には、さらに別のエッチャーとして、
試料室の天板部分に配されたSixNy製のライナのプ
ラズマ接触面積を虹彩絞り式シャッタで可変となし、さ
らにウェハ・クランプも窒化シリコンを用いて構成した
ヘリコン波プラズマ・エッチャーを示した。このエッチ
ャーのプラズマ生成部は、内部にヘリコン波プラズマP
H を生成させるためのベルジャ41、このベルジャ41
を周回する2個のループを有し、RFパワーをプラズマ
へカップリングさせるためのループ・アンテナ42、上
記ベルジャ41を周回するごとく設けられ、該ベルジャ
41の軸方向に沿った磁界を生成させるソレノイド・コ
イル43を主な構成要素とする。In FIG. 6, as yet another etcher,
The plasma contact area of the liner made of SixNy arranged on the top plate part of the sample chamber is made variable by an iris diaphragm type shutter, and the wafer clamp also shows a helicon wave plasma etcher made of silicon nitride. The plasma generation part of this etcher has a helicon wave plasma P inside.
Bell jar 41 for generatingH , this bell jar 41
A loop antenna 42 for coupling RF power into plasma, a solenoid provided around the bell jar 41 to generate a magnetic field along the axial direction of the bell jar 41. -The coil 43 is a main component.
【0035】上記ベルジャ41は非導電性の材料より構
成され、ここでは石英を採用した。また、上記ソレノイ
ド・コイル43は、主としてヘリコン波の伝搬に寄与す
る内周側ソレノイド・コイル43aと、主としてヘリコ
ン波プラズマPH の輸送に寄与する外周側ソレノイド・
コイル43bから構成されている。上記ループ・アンテ
ナ42にはプラズマ励起用RF電源55からインピーダ
ンス整合用の第1のマッチング・ネットワーク(M/
N)54を通じてRFパワーが印加され、上下2個のル
ープには互いに逆回り方向の電流が流れる。ここでは、
上記プラズマ励起用RF電源55の周波数を、13.5
6MHzとした。なお、両ループ間の距離は、所望のヘ
リコン波の波数に応じて最適化されている。The bell jar 41 is made of a non-conductive material, and quartz is used here. Also, the solenoid coil 43 is mainly a contributing inner side solenoid coil 43a to the propagation of helicon waves, mainly helicon wave plasma PH periphery side solenoid contribute to the transport of &
It is composed of a coil 43b. The loop antenna 42 has a first matching network (M / M) for impedance matching from the RF power source 55 for plasma excitation.
RF power is applied through (N) 54, and currents in opposite directions flow in the upper and lower two loops. here,
The frequency of the RF power source 55 for plasma excitation is set to 13.5.
It was set to 6 MHz. The distance between both loops is optimized according to the desired wave number of the helicon wave.
【0036】上記ベルジャ41は試料室46に接続さ
れ、上記ソレノイド・コイル43が形成する発散磁界に
沿って該試料室46の内部へヘリコン波プラズマPH を
引き出すようになされている。試料室46の側壁面およ
び底面は、ステンレス鋼等の導電性材料を用いて構成さ
れている。その内部は、図示されない排気系統により排
気孔48を通じて矢印J方向に高真空排気されており、
上部の天板44に開口されるガス供給管45より矢印K
方向にドライエッチングに必要なガスの供給を受け、さ
らにその側壁面においてゲート・バルブ47を介し、た
とえば図示されないロード・ロック室に接続されてい
る。The bell jar 41 is connected to the sample chamber 46 and draws the helicon wave plasma PH into the sample chamber 46 along the divergent magnetic field formed by the solenoid coil 43. The side wall surface and the bottom surface of the sample chamber 46 are made of a conductive material such as stainless steel. The inside thereof is evacuated to a high vacuum in an arrow J direction through an exhaust hole 48 by an exhaust system (not shown).
Arrow K from the gas supply pipe 45 opened in the top plate 44
Direction, the gas required for dry etching is supplied, and the side wall surface thereof is connected to a load lock chamber (not shown) through a gate valve 47.
【0037】上記試料室46の内部には、その壁面から
電気的に絶縁された導電性のウェハ・ステージ49が収
容され、この上にウェハWを保持して所定のドライエッ
チングを行うようになされている。上記ウェハ・ステー
ジ49には、プロセス中のウェハWを所望の温度に維持
するために、図示されないチラーから冷媒の供給を受
け、これを矢印L1 ,L2 方向に循環させるための冷却
配管50が埋設されている。また、上記ウェハ・ステー
ジ49には、プラズマ中から入射するイオンのエネルギ
ーを制御するためにウェハWに基板バイアスを印加する
バイアス印加用RF電源52が、第2のマッチング・ネ
ットワーク(M/N)51を介して接続されている。こ
こでは、バイアス印加用RF電源52の周波数を400
kHzとした。A conductive wafer stage 49, which is electrically insulated from the wall surface of the sample chamber 46, is accommodated in the sample chamber 46, and the wafer W is held on the conductive wafer stage 49 to perform predetermined dry etching. ing. The wafer stage 49 is supplied with a coolant from a chiller (not shown) in order to maintain the wafer W in process at a desired temperature, and a cooling pipe 50 for circulating the coolant in the directions of arrows L1 and L2. Is buried. Further, on the wafer stage 49, a bias application RF power source 52 for applying a substrate bias to the wafer W in order to control the energy of ions incident from the plasma is provided as a second matching network (M / N). It is connected via 51. Here, the frequency of the bias applying RF power source 52 is set to 400
kHz.
【0038】さらに、上記試料室46の外部には、上記
ウェハ・ステージ49近傍における発散磁界を収束させ
るために、補助磁界生成手段としてマルチカスプ磁場を
生成可能な永久磁石53が配設されている。Further, outside the sample chamber 46, a permanent magnet 53 capable of generating a multicusp magnetic field is arranged as an auxiliary magnetic field generating means in order to converge the divergent magnetic field in the vicinity of the wafer stage 49.
【0039】以上述べたところまでの構成は、従来のヘ
リコン波プラズマ・エッチャーの構成と同様であるが、
本実施例では上記天板44の一部に円環状のライナ56
を配した。本実施例では、このライナ56をSixNy
を用いて構成した。このライナ56の近傍にはさらに、
虹彩絞り式シャッタ57を設けた。この虹彩絞り式シャ
ッタ57は、複数の絞り羽根が連動して動作することに
より、カメラの絞りの様に中央の孔の開口径を矢印M方
向に縮小/拡大するタイプのシャッタである。図6で
は、開口径が最大となりライナ56のプラズマ接触面積
が100%とされた状態を実線で、開口径が最小となり
接触面積が0%とされた状態を破線で示している。The structure up to the above is similar to that of the conventional helicon wave plasma etcher,
In this embodiment, an annular liner 56 is provided on a part of the top plate 44.
Was arranged. In this embodiment, this liner 56 is replaced with SixNy.
It was constructed using. In the vicinity of this liner 56,
An iris diaphragm type shutter 57 is provided. The iris diaphragm type shutter 57 is a type of shutter that reduces / enlarges the opening diameter of the central hole in the direction of arrow M like a diaphragm of a camera by operating a plurality of diaphragm blades in conjunction. In FIG. 6, the state where the opening diameter is maximum and the plasma contact area of the liner 56 is 100% is shown by a solid line, and the state where the opening diameter is minimum and the contact area is 0% is shown by a broken line.
【0040】なお、天板に配されるライナやシャッタの
構成は上述のものに限られない。たとえば、円環を分割
した形状のライナとスリット状の開口を有する回動式の
シャッタを組み合わせ、シャッタの回転角によってライ
ナのプラズマ接触面積を可変とする構成としても良い。
あるいは、適当な形状のライナがこれを被覆/露出し得
る様な適当な形状のスライド式のシャッタと組み合わさ
れたものであっても良い。The structure of the liner and the shutter arranged on the top plate is not limited to the above. For example, a liner formed by dividing an annular ring and a rotary shutter having a slit-shaped opening may be combined, and the plasma contact area of the liner may be varied depending on the rotation angle of the shutter.
Alternatively, a liner of suitable shape may be combined with a slide shutter of suitable shape to cover / expose it.
【0041】本発明ではさらに、ウェハ・ステージ49
上にウェハWを固定するためのウェハ・クランプ58
も、SixNyを用いて構成した。The present invention further includes a wafer stage 49.
Wafer clamp 58 for fixing the wafer W on it
Is also configured using SixNy.
【0042】第2の実施の形態 ここでは、第1の実施の形態で述べたようなエッチャー
の改造を特に行わなくとも、エッチング・ガス組成の工
夫により、SixNy膜に対して高い選択性を維持可能
な方法について説明する。Second Embodiment Here, a high selectivity for the SixNy film is maintained by devising the etching gas composition without particularly modifying the etcher as described in the first embodiment. The possible methods are explained.
【0043】すなわち、エッチング・ガスとして、放電
解離条件下でプラズマ中にカルボニル系化学種とイオウ
系化学種とを放出可能なものを用いる。カルボニル系化
学種の添加から期待される効果は、主として対下地選択
性の向上である。これは、カルボニル系化学種が堆積性
物質である炭素系ポリマーの架橋や重合を促進したり、
あるいはプラズマ中のF* (フッ素ラジカル)を捕捉し
たりすることにより、形成される炭素系ポリマー膜の炭
素含有量が結果的に増大し、スパッタ耐性が向上するた
めである。一方のイオウ系化学種から期待される効果
は、異方性や対下地選択比の向上である。イオウ(S)
が発揮する表面保護効果と低汚染性については、前述し
たとおりである。That is, as the etching gas, one capable of releasing carbonyl-based chemical species and sulfur-based chemical species into plasma under discharge dissociation conditions is used. The effect expected from the addition of the carbonyl-based species is mainly the improvement of the selectivity for the base. This is because carbonyl-based species accelerate the cross-linking and polymerization of carbon-based polymers that are sedimentary substances,
Alternatively, by trapping F* (fluorine radicals) in plasma, the carbon content of the carbon-based polymer film formed is consequently increased, and the sputtering resistance is improved. On the other hand, the effects expected from one of the sulfur-based chemical species are anisotropy and an improvement in the selectivity ratio to the substrate. Sulfur (S)
The surface protection effect and low pollution property exhibited by are as described above.
【0044】[0044]
【実施例】以下、本発明の具体的な実施例について説明
する。EXAMPLES Specific examples of the present invention will be described below.
【0045】実施例1 本実施例では、図1に示した有磁場マイクロ波プラズマ
・エッチャーとC4 F8 ガスを用いた2ステップ・エッ
チングにより、コンタクト・ホール加工を行った。本実
施例のプロセスを、図7ないし図9を参照しながら説明
する。Example 1 In this example, contact hole processing was performed by two-step etching using the magnetic field microwave plasma etcher shown in FIG. 1 and C4 F8 gas. The process of this embodiment will be described with reference to FIGS.
【0046】本実施例で使用したサンプル・ウェハは、
図7に示されるように、予め不純物拡散領域62が形成
されたSi基板1上に、たとえばLPCVD法により膜
厚10nmのSixNy下地膜63が形成され、続いて
常圧CVD法により膜厚約500nmのSiOx層間絶
縁膜64が形成され、さらに厚さ約1μmのレジスト・
マスクが65がパターニングされたものである。上記レ
ジスト・マスク(PR)65はたとえば化学増幅系レジ
スト材料とKrFエキシマ・レーザ・ステッパを用いた
フォトリソグラフィにより形成されており、直径約0.
25μmの開口65aが形成されている。The sample wafer used in this example is
As shown in FIG. 7, a 10 nm-thick SixNy base film 63 is formed on the Si substrate 1 in which the impurity diffusion regions 62 are formed in advance, for example, by the LPCVD method, and subsequently, a film thickness of about 500 nm is formed by the atmospheric pressure CVD method. SiOx interlayer insulating film 64 is formed, and a resist of about 1 μm thick is further formed.
The mask 65 is patterned. The resist mask (PR) 65 is formed, for example, by photolithography using a chemically amplified resist material and a KrF excimer laser stepper, and has a diameter of about 0.
An opening 65a of 25 μm is formed.
【0047】ここで、上記SiOx層間絶縁膜64のド
ライエッチングをC4 F8 ガスを用いてジャストエッチ
ングとオーバーエッチングの2ステップで行い、しかも
両ステップ間で昇降式シャッタ14の開度を変化させ
た。Here, the dry etching of the SiOx interlayer insulating film 64 is performed in two steps of just etching and over etching using C4 F8 gas, and the opening degree of the elevating shutter 14 is changed between both steps. It was
【0048】まずジャストエッチングは、たとえば C4 F8 流量 40 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 250 W(800 kHz) ウェハ温度 20 ℃ シャッタ開度 0 % なる条件で行った。First, just etching is performed by, for example, C4 F8 flow rate 40 SCCM pressure 0.27 Pa microwave power 1200 W (2.45 GHz) RF bias power 250 W (800 kHz) wafer temperature 20 ° C. shutter opening 0 % Was performed.
【0049】上記ジャストエッチング中は、昇降式シャ
ッタ14がライナ13をECRプラズマPE から遮蔽し
ているため、ウェハ・クランプ18から若干量のSix
Nyがスパッタアウトされる他は、フルオロカーボン系
ガスによる通常のエッチングが進行する。なお、このス
テップの終点は、下地のSixNy下地膜63が露出す
る直前、あるいはウェハW上の一部でSixNy下地膜
63が露出し始めた時点のいずれかに設定した。During the just etching, since the lift shutter 14 shields the liner 13 from the ECR plasma PE , a slight amount of Six is removed from the wafer clamp 18.
Besides Ny being sputtered out, normal etching with a fluorocarbon-based gas proceeds. The end point of this step was set either immediately before the underlying SixNy underlying film 63 was exposed or when the SixNy underlying film 63 started to be exposed on a part of the wafer W.
【0050】続くオーバーエッチングは、たとえば C4 F8 流量 40 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 150 W(800 kHz) ウェハ温度 30 ℃ シャッタ開度 100 % なる条件で行った。Subsequent over-etching is performed, for example, by C4 F8 flow rate 40 SCCM pressure 0.27 Pa microwave power 1200 W (2.45 GHz) RF bias power 150 W (800 kHz) wafer temperature 30 ° C. shutter opening 100 % Was performed.
【0051】上記オーバーエッチングでは、昇降式シャ
ッタ14を試料室6側へ下降させてライナ13を全面的
に露出させたので、ECRプラズマPE との接触により
窒化シリコン堆積物がウェハW上へ供給され、これが表
面保護に寄与する。しかも、RFバイアス・パワーを下
げたことで、イオン・スパッタ作用によるSixNy堆
積物の除去が抑制されている。この結果、図8に示され
るようなコンタクト・ホール64aが、SixNy下地
膜63に対して約40と高い選択比を保ちながら形成さ
れた。かかるSixNy堆積物の供給量の増大を、エッ
チング・ガス組成を何ら変更することなく実現できると
ころが、本発明の優れた点である。In the above-described over-etching, the elevating shutter 14 is lowered toward the sample chamber 6 to expose the liner 13 entirely, so that the silicon nitride deposit is supplied onto the wafer W by contact with the ECR plasma PE. This contributes to surface protection. Moreover, by lowering the RF bias power, the removal of SixNy deposits by the ion sputtering action is suppressed. As a result, the contact hole 64a as shown in FIG. 8 was formed while maintaining a high selection ratio of about 40 with respect to the SixNy base film 63. It is an excellent point of the present invention that such an increase in the supply amount of SixNy deposits can be realized without changing the etching gas composition.
【0052】この後、図9に示されるように、レジスト
・マスク65をアッシングにより除去し、コンタクト・
ホール64aの底面に露出しているSixNy下地膜6
3を熱リン酸水溶液を用いたウェットエッチングで選択
的に除去した。Thereafter, as shown in FIG. 9, the resist mask 65 is removed by ashing, and the contact mask is removed.
SixNy base film 6 exposed on the bottom surface of the hole 64a
3 was selectively removed by wet etching using a hot phosphoric acid aqueous solution.
【0053】実施例2 本実施例では、有磁場マイクロ波プラズマ・エッチャー
とC4 F8 /COS混合ガスを用いた1ステップ・エッ
チングにより、コンタクト・ホール加工を行った。この
プロセスは、通常の有磁場マイクロ波プラズマ・エッチ
ャーで実施可能であるが、ここでは、図1に示した前述
のエッチャーをシャッタ開度0%の状態で使用した。ま
た、使用したサンプル・ウェハも、前出の図7に示した
ものと同じである。Example 2 In this example, contact hole processing was performed by one-step etching using a magnetic field microwave plasma etcher and a C4 F8 / COS mixed gas. This process can be carried out by a normal magnetic field microwave plasma etcher, but here, the above-mentioned etcher shown in FIG. 1 was used with a shutter opening of 0%. The sample wafer used is also the same as that shown in FIG.
【0054】エッチング条件は、たとえば C4 F8 流量 40 SCCM COS流量 20 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 250 W(800 kHz) ウェハ温度 −20 ℃ シャッタ開度 0 % とした。The etching conditions are, for example, C4 F8 flow rate 40 SCCM COS flow rate 20 SCCM pressure 0.27 Pa microwave power 1200 W (2.45 GHz) RF bias power 250 W (800 kHz) wafer temperature -20 ° C. The shutter opening was set to 0%.
【0055】このエッチング過程では、カルボニル基を
取り込んで炭素含有量の増大したフルオロカーボン系ポ
リマーの堆積、CO* によるF* の除去、Sの堆積、お
よびSixNy下地膜63の露出面から供給されるNと
気相中のSとの結合により生成する(SN)xポリマー
の堆積等の現象が進行する。この結果、SixNy下地
膜63に対して約40と高い選択比を達成することがで
きた。In this etching process, a fluorocarbon-based polymer having a carbon content increased by incorporating a carbonyl group is deposited, F* is removed by CO* , S is deposited, and N supplied from the exposed surface of the SixNy base film 63. The phenomenon such as the deposition of (SN) x polymer produced by the combination of the gas with S in the gas phase proceeds. As a result, it was possible to achieve a high selection ratio of about 40 with respect to the SixNy base film 63.
【0056】なお、上記の堆積物は、エッチング終了後
にウェハWを加熱したり、あるいはレジスト・アッシン
グを行った際に容易に除去され、ウェハW上に何らパー
ティクル汚染を発生させることはなかった。The above deposits were easily removed when the wafer W was heated after the etching was completed or when resist ashing was performed, and no particle contamination was generated on the wafer W.
【0057】実施例3 本実施例では、図5に示したトライオード型エッチャー
とCHF3 /CH2 F2 混合ガスを用いた2ステップ・
エッチングにより、ONO型ゲート絶縁膜上のゲート電
極の側壁面にサイドウォールを形成した。この加工は、
LDD構造を有するMOSトランジスタの製造工程に含
まれるものである。本実施例のプロセスを、図10およ
び図11を参照しながら説明する。Example 3 In this example, two steps using the triode type etcher and the CHF3 / CH2 F2 mixed gas shown in FIG.
A sidewall was formed on the sidewall surface of the gate electrode on the ONO type gate insulating film by etching. This processing is
It is included in the manufacturing process of the MOS transistor having the LDD structure. The process of this embodiment will be described with reference to FIGS.
【0058】本実施例で使用したサンプル・ウェハは、
図11に示されるように、Si基板71上にいわゆるO
NO型ゲート絶縁膜75が形成され、この上でたとえば
ポリシリコン(polySi)からなるゲート電極76
がパターニングされ、このゲート電極76をマスクとす
るイオン注入によりLDD領域77が形成され、さらに
常圧CVD法により全面にSiOx膜78が形成された
ものである。ここで、上記ONO型ゲート絶縁膜75
は、たとえば下層側から順に、膜厚約4nmのSiOx
膜72、膜厚約6nmのSixNy膜73、および膜厚
約2nmのSiOx膜74が順次積層されたものであ
る。The sample wafer used in this example is
As shown in FIG. 11, so-called O is formed on the Si substrate 71.
An NO type gate insulating film 75 is formed, and a gate electrode 76 made of, for example, polysilicon (polySi) is formed on the NO type gate insulating film 75.
Are patterned, an LDD region 77 is formed by ion implantation using the gate electrode 76 as a mask, and a SiOx film 78 is formed on the entire surface by an atmospheric pressure CVD method. Here, the ONO type gate insulating film 75
Is, for example, SiOx having a film thickness of about 4 nm in order from the lower layer side.
The film 72, a SixNy film 73 with a film thickness of about 6 nm, and a SiOx film 74 with a film thickness of about 2 nm are sequentially laminated.
【0059】ここで、上記SiOx膜78のエッチバッ
クをCHF3 /CH2 F2 混合ガスを用いてジャストエ
ッチングとオーバーエッチングの2ステップで行い、し
かも両ステップ間で回動式シャッタ33の開度を変化さ
せた。Here, the SiOx film 78 is etched back in two steps of just etching and over etching using a CHF3 / CH2 F2 mixed gas, and the opening degree of the rotary shutter 33 between both steps. Was changed.
【0060】まずジャストエッチングは、たとえば CHF3 流量 40 SCCM CH2 F2 流量 10 SCCM 圧力 1.0 Pa ソース・パワー 1000 W(13.56 MHz) RFバイアス・パワー 50 W(100 kHz) ウェハ温度 50 ℃ シャッタ開度 0 % なる条件で行った。First, just etching is performed by, for example, CHF3 flow rate 40 SCCM CH2 F2 flow rate 10 SCCM pressure 1.0 Pa source power 1000 W (13.56 MHz) RF bias power 50 W (100 kHz) wafer temperature 50 C. The shutter opening was 0%.
【0061】上記ジャストエッチング中は、回動式シャ
ッタ33がライナ32をグロー放電プラズマPG から遮
蔽しているため、ウェハ・クランプ36から若干量の窒
化シリコンがスパッタアウトされる他は、フルオロカー
ボン系ガスによる通常のエッチングが進行する。なお、
このステップの終点は、下地のゲート電極76およびS
ixNy膜73が露出する直前、あるいはウェハW上の
一部でゲート電極76およびSixNy膜73が露出し
始めた時点のいずれかに設定した。During the just etching, the rotary shutter 33 shields the liner 32 from the glow discharge plasma PG, so that a small amount of silicon nitride is sputtered out from the wafer clamp 36. Normal etching with gas proceeds. In addition,
The end point of this step is the gate electrode 76 and S of the underlying layer.
It was set either immediately before the ixNy film 73 was exposed or when the gate electrode 76 and the SixNy film 73 started to be exposed on a part of the wafer W.
【0062】続くオーバーエッチングは、たとえば CHF3 流量 40 SCCM CH2 F2 流量 10 SCCM 圧力 1.0 Pa ソース・パワー 1200 W(2.45 GHz) RFバイアス・パワー 20 W(100 kHz) ウェハ温度 50 ℃ シャッタ開度 100 % なる条件で行った。Subsequent over-etching is performed by, for example, CHF3 flow rate 40 SCCM CH2 F2 flow rate 10 SCCM pressure 1.0 Pa source power 1200 W (2.45 GHz) RF bias power 20 W (100 kHz) wafer temperature 50 C. The shutter opening was 100%.
【0063】上記オーバーエッチングでは、回動式シャ
ッタ33を回転させてライナ32を全面的に露出させた
ので、グロー放電プラズマPG との接触により窒化シリ
コン堆積物がウェハW上へ供給され、これが表面保護に
寄与する。しかも、RFバイアス・パワーを下げたこと
で、イオン・スパッタ作用によるSixNy堆積物の除
去が抑制されている。この結果、SixNy膜73に対
して約40と高い選択比を維持しながら、図11に示さ
れるようなサイドウォール78aが形成された。In the above-described overetching, since the rotary shutter 33 is rotated to expose the liner 32 over the entire surface, the silicon nitride deposit is supplied onto the wafer W by contact with the glow discharge plasma PG. Contributes to surface protection. Moreover, by lowering the RF bias power, the removal of SixNy deposits by the ion sputtering action is suppressed. As a result, the sidewall 78a as shown in FIG. 11 was formed while maintaining a high selection ratio of about 40 with respect to the SixNy film 73.
【0064】実施例4 本実施例では、トライオード型エッチャーとCHF3 /
COS混合ガスを用いた1ステップ・エッチングによ
り、ONO型ゲート絶縁膜上のゲート電極の側壁面にお
けるサイドウォール加工を行った。このプロセスは、通
常のトライオード型エッチャーで実施可能であるが、こ
こでは、図5に示した前述のエッチャーをシャッタ開度
0%の状態で使用した。また、使用したサンプル・ウェ
ハも、前出の図10に示したものである。Example 4 In this example, a triode type etcher and CHF3 /
Sidewall processing was performed on the sidewall surface of the gate electrode on the ONO type gate insulating film by one-step etching using a COS mixed gas. This process can be performed with a normal triode type etcher, but here, the above-mentioned etcher shown in FIG. 5 was used with the shutter opening of 0%. The sample wafer used is also the one shown in FIG.
【0065】エッチング条件は、たとえば CHF3 流量 40 SCCM COS流量 15 SCCM 圧力 1.0 Pa ソース・パワー 1000 W(13.56 MHz) RFバイアス・パワー 50 W(100 kHz) ウェハ温度 −10 ℃ シャッタ開度 0 % とした。The etching conditions are, for example, CHF3 flow rate 40 SCCM COS flow rate 15 SCCM pressure 1.0 Pa source power 1000 W (13.56 MHz) RF bias power 50 W (100 kHz) wafer temperature -10 ° C. shutter open. The degree was 0%.
【0066】本実施例でも、SixNy膜73が露出し
た時点で炭素含有量の高いフルオロカーボン系ポリマ
ー、イオウ、および(SN)xポリマーの混在した堆積
物が堆積することにより、約40の高い下地選択性が得
られた。Also in this embodiment, when the SixNy film 73 is exposed, a mixed deposit of fluorocarbon-based polymer having a high carbon content, sulfur, and (SN) x polymer is deposited, so that a high base selection of about 40 is achieved. Sex was obtained.
【0067】実施例5 本実施例では、図6に示したヘリコン波プラズマ・エッ
チャーとC4 F8 ガスを用い、SixNyマスクによる
コンタクト・ホール加工を1ステップで行った。本実施
例のプロセスを、図12および図13を参照しながら説
明する。Example 5 In this example, the helicon wave plasma etcher shown in FIG. 6 and C4 F8 gas were used to perform contact hole processing with a SixNy mask in one step. The process of this embodiment will be described with reference to FIGS. 12 and 13.
【0068】本実施例で使用したサンプル・ウェハは、
図12に示されるように、予め不純物拡散領域82が形
成されたSi基板81上にたとえば常圧CVD法により
厚さ1μmのSiOx層間絶縁膜83が形成され、さら
にその上にホール・パターンにしたがった開口84aを
有するSixNyマスク84が形成されたものである。
ここで、上記SixNyマスク84は、たとえばプラズ
マCVD法により形成された膜厚約100nmのSix
Ny膜を化学増幅系フォトレジストを用いたエキシマ・
レーザ・リソグラフィ、現像処理によるレジスト・マス
クの形成、このレジスト・マスクを介したSixNy膜
のドライエッチングを順次経てパターニングされたもの
である。レジスト・マスクは、既にアッシングにより除
去されている。The sample wafer used in this example is
As shown in FIG. 12, a 1 μm-thick SiOx interlayer insulating film 83 is formed on the Si substrate 81 on which the impurity diffusion region 82 is formed in advance by, for example, an atmospheric pressure CVD method, and a hole pattern is formed thereon. The SixNy mask 84 having the opening 84a is formed.
Here, the SixNy mask 84 is formed of, for example, a plasma CVD method and has a thickness of about 100 nm.
Excimer using Ny film with chemically amplified photoresist
It is patterned by sequentially performing laser lithography, formation of a resist mask by development processing, and dry etching of the SixNy film through the resist mask. The resist mask has already been removed by ashing.
【0069】次に、図6に示した前述のヘリコン波プラ
ズマ・エッチャーを用いて、上記SiOx層間絶縁膜8
3にコンタクト・ホールを開口するためのエッチングを
行った。エッチングは1ステップで行い、その条件はた
とえば、 C4 F8 流量 50 SCCM 圧力 0.3 Pa ソース・パワー 2000 W(13.56 MHz) RFバイアス・パワー 100 W(400 kHz) ウェハ温度 0 ℃ シャッタ開度 100 % の通りとした。この結果、図13に示されるような、良
好な異方性形状を有するコンタクト・ホール83aを形
成することができた。Next, the SiOx interlayer insulating film 8 is formed by using the helicon wave plasma etcher shown in FIG.
3 was etched to open a contact hole. The etching is performed in one step, and the conditions are, for example, C4 F8 flow rate 50 SCCM pressure 0.3 Pa source power 2000 W (13.56 MHz) RF bias power 100 W (400 kHz) wafer temperature 0 ° C. shutter The opening was set to 100%. As a result, the contact hole 83a having a good anisotropic shape as shown in FIG. 13 could be formed.
【0070】本実施例では、エッチング開始前に既にS
ixNyの露出面が存在しているため、上記のように最
初からシャッタ開度を100%としてエッチングを行
う。つまり、SixNyマスク84の表面がライナ56
から供給されるSixNyで保護されながらエッチング
が進行する。このときの対マスク選択比は約30と良好
であり、マスクの後退による寸法変換差の発生やコンタ
クト・ホールの形状劣化はいずれも防止された。In this embodiment, S is already added before the start of etching.
Since the exposed surface of ixNy exists, etching is performed with the shutter opening being 100% from the beginning as described above. That is, the surface of the SixNy mask 84 is liner 56.
Etching proceeds while being protected by SixNy supplied from At this time, the selection ratio to the mask was as good as about 30, and the generation of the dimensional conversion difference due to the receding of the mask and the deterioration of the shape of the contact hole were prevented.
【0071】実施例6 本実施例では、ヘリコン波プラズマ・エッチャーとC4
F8 /COS混合ガスを用い、SixNyマスクによる
コンタクト・ホール加工を1ステップで行った。このプ
ロセスは、通常のヘリコン波プラズマ・エッチャーで実
施可能であるが、ここでは、図6に示した前述のエッチ
ャーをシャッタ開度0%の状態で使用した。また、使用
したサンプル・ウェハも、前出の図12に示したものと
同じである。Embodiment 6 In this embodiment, a helicon wave plasma etcher and C4 are used.
Using F8 / COS mixed gas, contact hole processing with a SixNy mask was performed in one step. This process can be carried out with a normal helicon wave plasma etcher, but here, the above-mentioned etcher shown in FIG. 6 was used with a shutter opening of 0%. The sample wafer used is also the same as that shown in FIG.
【0072】エッチング条件は、たとえば C4 F8 流量 50 SCCM COS流量 30 SCCM 圧力 0.3 Pa ソース・パワー 2000 W(13.56 MHz) RFバイアス・パワー 100 W(400 kHz) ウェハ温度 −20 ℃ シャッタ開度 0 % とした。The etching conditions are, for example, C4 F8 flow rate 50 SCCM COS flow rate 30 SCCM pressure 0.3 Pa source power 2000 W (13.56 MHz) RF bias power 100 W (400 kHz) wafer temperature -20 ° C. The shutter opening was set to 0%.
【0073】このエッチング過程では、SixNyマス
ク84の表面に炭素含有量の高いフルオロカーボン系ポ
リマー、イオウ、および(SN)xポリマーの混在した
堆積物が堆積し、約30と高い対マスク選択比が得られ
た。In this etching process, a mixed deposit of fluorocarbon-based polymer having a high carbon content, sulfur and (SN) x polymer was deposited on the surface of the SixNy mask 84, and a high mask selectivity ratio of about 30 was obtained. Was given.
【0074】実施例7 本実施例では、図1に示した有磁場マイクロ波プラズマ
・エッチャーを用い、自己整合コンタクト・プロセスに
もとづいてSiOx層間絶縁膜にコンタクト・ホールを
開口した。ここでは、SiOx層間絶縁膜のエッチング
を、C4 F8 ガスによるジャストエッチングとC4 F8
/S2 F2 混合ガスによるオーバーエッチングの2ステ
ップで行った。本実施例のプロセスを、図14および図
15を参照しながら説明する。Example 7 In this example, the magnetic field microwave plasma etcher shown in FIG. 1 was used to open a contact hole in the SiOx interlayer insulating film based on a self-aligned contact process. Here, the etching of the SiOx interlayer insulating film is performed by just etching with C4 F8 gas and C4 F8 gas.
/ S2 F2 mixed gas was used in two steps of over-etching. The process of this embodiment will be described with reference to FIGS. 14 and 15.
【0075】自己整合コンタクト・プロセスとは、コン
タクト・ホールの位置合わせのためのフォトマスク上の
設計マージンを省略するために、隣接する中層配線パタ
ーンの間にコンタクト・ホールを形成し、これを上層配
線で埋め込む技術である。本実施例で用いたサンプル・
ウェハを、図14に示す。これは、SRAMのワード線
として機能するゲート電極95の配線間スペースを利用
してSi基板91中の不純物拡散領域98にビット線取
り出し電極(図示せず。)をコンタクトさせる領域を示
している。上記ゲート電極95は、Si基板91上にゲ
ート酸化膜92を介して形成されたタングステン・ポリ
サイド膜、つまり不純物含有ポリシリコン膜93とタン
グステン・シリサイド膜94との積層膜をパターニング
してなるものである。このゲート電極95の上面は、該
ゲート電極95と同一パターンを有するオフセット絶縁
膜96、側壁面はサイドウォール97でそれぞれ被覆さ
れている。これらオフセット絶縁膜96とサイドウォー
ル97はいずれもSiOx膜を用いて形成されており、
上記ゲート電極95を後工程で形成されるビット線引出
し電極から絶縁する役目を担っている。In the self-aligned contact process, in order to omit the design margin on the photomask for aligning the contact holes, contact holes are formed between adjacent middle layer wiring patterns, and this is used as an upper layer. It is a technology to embed with wiring. Samples used in this example
The wafer is shown in FIG. This shows a region where a bit line extraction electrode (not shown) is brought into contact with the impurity diffusion region 98 in the Si substrate 91 by utilizing the inter-wiring space of the gate electrode 95 which functions as a word line of SRAM. The gate electrode 95 is formed by patterning a tungsten polycide film formed on the Si substrate 91 via the gate oxide film 92, that is, a laminated film of an impurity-containing polysilicon film 93 and a tungsten silicide film 94. is there. The upper surface of the gate electrode 95 is covered with an offset insulating film 96 having the same pattern as the gate electrode 95, and the side wall surface is covered with a side wall 97. Both the offset insulating film 96 and the side wall 97 are formed using a SiOx film,
It plays the role of insulating the gate electrode 95 from the bit line extraction electrode formed in a later step.
【0076】これらゲート電極95とオフセット絶縁膜
96とサイドウォール97からなるパターンの表面は、
一旦SixNyからなるエッチング停止膜98で被覆さ
れ、さらにSiOx層間絶縁膜99で平坦化されてい
る。上記エッチング停止膜98は、SiOx層間絶縁膜
99にコンタクト・ホールを開口する際に、下地のオフ
セット絶縁膜96やサイドウォール97が侵食されない
ようにするためのものである。The surface of the pattern composed of the gate electrode 95, the offset insulating film 96 and the side wall 97 is
It is once covered with an etching stopper film 98 made of SixNy, and further planarized with an SiOx interlayer insulating film 99. The etching stopper film 98 is for preventing the underlying offset insulating film 96 and the sidewalls 97 from being eroded when the contact hole is opened in the SiOx interlayer insulating film 99.
【0077】以上の構成からもわかるように、本実施例
のエッチングでは、SixNyからなるエッチング停止
膜98に対して高いエッチング選択性が確保されなけれ
ばならない。そこで、まずジャストエッチングを、 C4 F8 流量 40 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 250 W(800 kHz) ウェハ温度 20 ℃ シャッタ開度 0 % の条件で行った。As can be seen from the above structure, in the etching of this embodiment, a high etching selectivity with respect to the etching stop film 98 made of SixNy must be ensured. Therefore, first, just etching is performed at a C4 F8 flow rate of 40 SCCM, a pressure of 0.27 Pa, a microwave power of 1200 W (2.45 GHz), an RF bias power of 250 W (800 kHz), a wafer temperature of 20 ° C., and a shutter opening of 0%. I went under the conditions.
【0078】続いてオーバーエッチングを、 C4 F8 流量 40 SCCM S2 F2 流量 5 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 150 W(800 kHz) ウェハ温度 20 ℃ シャッタ開度 100 % の条件で行った。Subsequently, overetching was performed by using a C4 F8 flow rate 40 SCCM S2 F2 flow rate 5 SCCM pressure 0.27 Pa microwave power 1200 W (2.45 GHz) RF bias power 150 W (800 kHz) wafer. It was performed under the conditions of a temperature of 20 ° C. and a shutter opening of 100%.
【0079】本実施例では、オーバーエッチング時にシ
ャッタを開放し、かつバイアスを低下させただけではな
く、ガス系にS2 F2 を添加して気相中からもSを堆積
させることができた。この結果、エッチング停止膜98
に対する選択比は、ホール底で約60、オフセット絶縁
膜96やサイドウォール97の上部で約30となり、図
15に示されるように良好な断面形状を有するコンタク
ト・ホール102を形成することができた。In this example, not only was the shutter opened and the bias was lowered during overetching, but S2 F2 could be added to the gas system to deposit S even in the gas phase. . As a result, the etching stop film 98
With respect to the bottom of the hole and about 30 at the top of the offset insulating film 96 and the side wall 97, the contact hole 102 having a good cross-sectional shape could be formed as shown in FIG. .
【0080】この後、コンタクト・ホール102の底面
に露出したエッチング停止膜98を熱リン酸溶液処理を
通じて選択的に除去して、ホールを完成させた。After that, the etching stop film 98 exposed on the bottom surface of the contact hole 102 was selectively removed through a hot phosphoric acid solution treatment to complete the hole.
【0081】実施例8 本実施例では、有磁場マイクロ波プラズマ・エッチャー
とC4 F8 /COS混合ガスを用いる1ステップ・エッ
チングにより、自己整合コンタクト・プロセスにもとづ
いてSiOx層間絶縁膜にコンタクト・ホールを開口し
た。このプロセスは、通常の有磁場マイクロ波プラズマ
・エッチャーで実施可能であるが、ここでは、図1に示
した前述のエッチャーをシャッタ開度0%の状態で使用
した。Example 8 In this example, the SiOx interlayer insulating film was contacted by a one-step etching process using a magnetic field microwave plasma etcher and a C4 F8 / COS mixed gas based on a self-aligned contact process. The hole was opened. This process can be carried out by a normal magnetic field microwave plasma etcher, but here, the above-mentioned etcher shown in FIG. 1 was used with a shutter opening of 0%.
【0082】本実施例のプロセスを、図16および図1
7を参照しながら説明する。なお、これらの図中の符号
は、図14と一部共通である。The process of this embodiment is shown in FIG. 16 and FIG.
This will be described with reference to FIG. Note that the reference numerals in these figures are partially common to those in FIG.
【0083】本実施例で用いたサンプル・ウェハを、図
16に示す。これは、前出の図14に示したものとはや
や異なり、主にゲート・アレイに採用されている自己整
合コンタクトの構造を示している。図14と異なる点
は、開口されるコンタクト・ホールの直径が配線間スペ
ースよりも小さく、レジスト・マスク100にはこれに
応じて狭い開口104が形成されていること、およびS
ixNyからなるエッチング停止膜が形成されていない
代わりに、サイドウォール103がSixNyを用いて
形成されていることである。したがって、本実施例にお
けるエッチング選択性は、上記サイドウォール103に
対して確保することになる。The sample wafer used in this example is shown in FIG. This is a little different from the one shown in FIG. 14 and shows the structure of the self-aligned contact mainly used in the gate array. The difference from FIG. 14 is that the diameter of the contact hole to be opened is smaller than the inter-wiring space, and the narrow opening 104 is formed in the resist mask 100 accordingly.
That is, instead of not forming the etching stop film made of ixNy, the sidewall 103 is formed by using SixNy. Therefore, the etching selectivity in this embodiment is ensured for the sidewall 103.
【0084】そこで、SiOx層間絶縁膜99のエッチ
ングを以下の条件 C4 F8 流量 40 SCCM COS流量 40 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 250 W(800 kHz) ウェハ温度 0 ℃ シャッタ開度 0 % で行った。Therefore, the etching of the SiOx interlayer insulating film 99 is performed under the following conditions C4 F8 flow rate 40 SCCM COS flow rate 40 SCCM pressure 0.27 Pa microwave power 1200 W (2.45 GHz) RF bias power 250 W ( (800 kHz) Wafer temperature was 0 ° C. and shutter opening was 0%.
【0085】このエッチング過程では、サイドウォール
103の表面に炭素含有量の高いフルオロカーボン系ポ
リマー、イオウ、および(SN)xポリマーの混在した
堆積物が、また不純物拡散領域98の露出面に上記フル
オロカーボン系ポリマーやイオウが堆積した。これによ
り高選択・異方性エッチングが進行し、図17に示され
るような良好な形状を有するコンタクト・ホール105
が形成された。本実施例をたとえば実施例2と比較する
と、COSの流量比を高めた分、ウェハ温度が高い領域
でも高選択・異方性加工が実現されている。ホール底に
おける対Si選択比は約60、サイドウォール103に
対する選択比は約30と良好であった。以上、8例の具
体的な実施例を挙げたが、これらの実施例におけるサン
プル・ウェハの構成、各膜の成膜方法、ドライエッチン
グ条件、エッチャーの構造の細部等はいずれも適宜選択
・変更が可能である。In this etching process, the deposits in which the fluorocarbon-based polymer having a high carbon content, sulfur, and the (SN) x polymer are mixed on the surface of the side wall 103, and the fluorocarbon-based polymer is exposed on the exposed surface of the impurity diffusion region 98. Polymer and sulfur deposited. As a result, highly selective anisotropic etching proceeds, and the contact hole 105 having a good shape as shown in FIG.
Was formed. Comparing the present embodiment with, for example, the second embodiment, the high selection / anisotropic processing is realized even in a region where the wafer temperature is high because the flow rate ratio of COS is increased. The selection ratio of Si to the bottom of the hole was about 60, and the selection ratio to the sidewall 103 was about 30. Although the eight specific examples have been described above, the configuration of the sample wafer, the film forming method of each film, the dry etching conditions, the details of the structure of the etcher and the like in these examples are all appropriately selected and changed. Is possible.
【0086】[0086]
【発明の効果】以上の説明からも明らかなように、本発
明によればSixNy系材料膜に対するSiOx系材料
膜の高選択エッチングを、精度良く、しかもパーティク
ル・レベルを何ら悪化させることなく行うことができ
る。このことは、ONO膜や自己整合コンタクトといっ
た、より複雑化した構造が採用される半導体装置の製造
に際して極めて有利である。すなわち本発明は、Six
Ny系材料膜の精密加工を通じて、半導体デバイスの高
集積化,高信頼化,高性能化に大きく貢献するものであ
る。As is apparent from the above description, according to the present invention, the highly selective etching of the SiOx-based material film with respect to the SixNy-based material film can be performed with high accuracy and without any deterioration of the particle level. You can This is extremely advantageous in manufacturing a semiconductor device in which a more complicated structure such as an ONO film or a self-aligned contact is adopted. That is, the present invention is
Through precision processing of the Ny-based material film, it greatly contributes to high integration, high reliability, and high performance of semiconductor devices.
【図1】昇降式シャッタとSixNyからなるライナと
を備えた有磁場マイクロ波プラズマ・エッチャーの構成
例を示す模式的断面図であり、(a)図はシャッタ開度
0%、(b)図はシャッタ開度100%の状態をそれぞ
れ表す。FIG. 1 is a schematic cross-sectional view showing a configuration example of a magnetic field microwave plasma etcher including a lifting shutter and a liner made of SixNy, where (a) is a shutter opening of 0% and (b) is a diagram. Represents the state where the shutter opening is 100%.
【図2】図1の有磁場マイクロ波プラズマ・エッチャー
のベルジャと昇降式シャッタの一部を破断して示す概略
斜視図である。FIG. 2 is a schematic perspective view showing a bell jar and a lifting shutter of the magnetic field microwave plasma etcher of FIG.
【図3】本発明で用いられる有磁場マイクロ波プラズマ
・エッチャーの他の構成例において、ベルジャと回動式
シャッタの一部を破断して示す概略斜視図である。FIG. 3 is a schematic perspective view showing a bell jar and a rotary shutter in a partially cutaway view in another configuration example of the magnetic field microwave plasma etcher used in the present invention.
【図4】図3の回動式シャッタのX−X線断面図であ
り、(a)図はシャッタ開度0%、(b)図はシャッタ
開度100%の状態をそれぞれ表す。4A and 4B are cross-sectional views taken along the line X-X of the rotary shutter in FIG. 3, where FIG. 4A shows a shutter opening of 0% and FIG. 4B shows a shutter opening of 100%.
【図5】回動式シャッタとSixNyからなるライナと
を備えたトライオード型エッチャーの構成例を示す模式
的断面図である。FIG. 5 is a schematic cross-sectional view showing a configuration example of a triode etcher including a rotary shutter and a liner made of SixNy.
【図6】虹彩絞り式シャッタとSixNyからなるライ
ナとを備えたヘリコン波プラズマ・エッチャーの構成例
を示す模式的断面図である。FIG. 6 is a schematic cross-sectional view showing a configuration example of a helicon wave plasma etcher including an iris diaphragm type shutter and a liner made of SixNy.
【図7】本発明をコンタクト・ホール加工に適用したプ
ロセス例において、SixNy下地膜上にSiOx層間
絶縁膜を積層し、さらにレジスト・マスクを形成した状
態を示す模式的断面図である。FIG. 7 is a schematic cross-sectional view showing a state in which a SiOx interlayer insulating film is laminated on a SixNy base film and a resist mask is further formed in a process example in which the present invention is applied to a contact hole processing.
【図8】図7のSiOx層間絶縁膜にドライエッチング
を行ってコンタクト・ホールを開口した状態を示す模式
的断面図である。8 is a schematic cross-sectional view showing a state where a contact hole is opened by performing dry etching on the SiOx interlayer insulating film of FIG.
【図9】図8のコンタクト・ホールの底面に露出したS
ixNy下地膜を熱リン酸溶液処理により選択的に除去
した状態を示す模式的断面図である。FIG. 9: S exposed on the bottom surface of the contact hole in FIG.
FIG. 6 is a schematic cross-sectional view showing a state in which the ixNy base film is selectively removed by hot phosphoric acid solution treatment.
【図10】本発明をONO構造を有するゲート絶縁膜上
におけるLDDサイドウォール形成のためのエッチバッ
クに適用したプロセス例において、ゲート電極をSiO
x膜で被覆した状態を示す模式的断面図である。FIG. 10 is a view showing a process example in which the present invention is applied to etch back for forming an LDD sidewall on a gate insulating film having an ONO structure, a gate electrode is formed of SiO 2.
It is a typical sectional view showing the state where it was covered with the x membrane.
【図11】図10のSiOx膜をエッチバックしてサイ
ドウォールを形成した状態を示す模式的断面図である。FIG. 11 is a schematic cross-sectional view showing a state where the SiOx film of FIG. 10 is etched back to form sidewalls.
【図12】本発明を無機マスクを用いたコンタクト・ホ
ール加工に適用したプロセス例において、SiOx層間
絶縁膜上にSixNyマスクを形成した状態を示す模式
的断面図である。FIG. 12 is a schematic cross-sectional view showing a state in which a SixNy mask is formed on a SiOx interlayer insulating film in a process example in which the present invention is applied to processing a contact hole using an inorganic mask.
【図13】図12のSiOx層間絶縁膜にドライエッチ
ングを行ってコンタクト・ホールを開口した状態を示す
模式的断面図である。13 is a schematic cross-sectional view showing a state in which a contact hole is opened by performing dry etching on the SiOx interlayer insulating film of FIG.
【図14】本発明をSRAMのビット線引出し電極用の
自己整合コンタクトの形成に適用したプロセス例におい
て、ゲート電極をSiOx層間絶縁膜で被覆し、その上
にレジスト・マスクを形成した状態を示す模式的断面図
である。FIG. 14 shows a state in which a gate electrode is covered with a SiOx interlayer insulating film and a resist mask is formed thereon in an example of a process in which the present invention is applied to the formation of a self-aligned contact for a bit line extraction electrode of SRAM. It is a schematic sectional view.
【図15】図14のSiOx層間絶縁膜にコンタクト・
ホールを開口するためのエッチングが、SixNyより
なるエッチング停止膜の上で停止した状態を示す模式的
断面図である。FIG. 15 is a contact diagram of the SiOx interlayer insulating film of FIG.
FIG. 7 is a schematic cross-sectional view showing a state in which etching for opening holes is stopped on the etching stop film made of SixNy.
【図16】本発明をSRAMのビット線引き出し電極用
の自己整合コンタクトの形成に適用した他のプロセス例
において、ゲート電極をSiOx層間絶縁膜で被覆し、
その上にレジスト・マスクを形成した状態を示す模式的
断面図である。FIG. 16 is a view showing another process example in which the present invention is applied to the formation of a self-aligned contact for an SRAM bit line extraction electrode, in which a gate electrode is covered with a SiOx interlayer insulating film;
FIG. 3 is a schematic cross-sectional view showing a state where a resist mask is formed on it.
【図17】図16のSiOx層間絶縁膜にコンタクト・
ホールを開口するためのエッチングが、SixNyより
なるサイドウォールの上で停止した状態を示す模式的断
面図である。FIG. 17 shows a contact between the SiOx interlayer insulating film of FIG.
FIG. 6 is a schematic cross-sectional view showing a state in which etching for opening holes is stopped on the sidewall made of SixNy.
4 (有磁場マイクロ波プラズマ・エッチャーの)ベル
ジャ 13,13a,32,56 ライナ 14 昇降式シャッタ 15,33 回動式シャッタ 21 (トライオード型エッチャーの)チャンバ 29 側壁電極 41 (ヘリコン波プラズマ・エッチャーの)ベルジャ 57 虹彩絞り式シャッタ 61,71,81 91 Si基板 63 SixNy下地膜 64,83,99 SiOx層間絶縁膜 64a,83a,102,105 コンタクト・ホール 65,100 レジスト・マスク 75 ゲート絶縁膜 76,95 ゲート電極 78a サイドウォール(SiOx) 84 SixNyマスク 98 エッチング停止膜(SixNy) 103 サイドウォール(SixNy) PE ECRプラズマ PG グロー放電プラズマ PH ヘリコン波プラズマ W ウェハ4 Belger (for magnetic field microwave plasma etcher) 13, 13a, 32, 56 Liner 14 Lifting shutter 15, 33 Rotating shutter 21 Chamber for triode type etcher 29 Side wall electrode 41 (For helicon wave plasma etcher) ) Berja 57 Iris diaphragm shutter 61, 71, 81 91 Si substrate 63 SixNy base film 64, 83, 99 SiOx interlayer insulating film 64a, 83a, 102, 105 Contact hole 65, 100 Resist mask 75 Gate insulating film 76, 95 gate electrode 78a sidewalls (SiOx) 84 SixNy mask 98 etch stop layer (SixNy) 103 sidewall (SixNy) PE ECR plasma PG glow discharge plasma PH helicon wave plasma W wafer
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| JP23988895AJPH0982688A (en) | 1995-09-19 | 1995-09-19 | Dry etching method |
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| JP23988895AJPH0982688A (en) | 1995-09-19 | 1995-09-19 | Dry etching method |
| Publication Number | Publication Date |
|---|---|
| JPH0982688Atrue JPH0982688A (en) | 1997-03-28 |
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| JP23988895AWithdrawnJPH0982688A (en) | 1995-09-19 | 1995-09-19 | Dry etching method |
| Country | Link |
|---|---|
| JP (1) | JPH0982688A (en) |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| EP0905757A3 (en)* | 1997-09-29 | 2000-10-25 | Siemens Aktiengesellschaft | Improved techniques for etching a silicon dioxide-containing layer |
| JP2002530863A (en)* | 1998-11-16 | 2002-09-17 | アプライド マテリアルズ インコーポレイテッド | Method for etching oxides using hexafluorobutadiene or related hydrofluorocarbons and displaying a wide process window |
| US6653788B2 (en) | 2000-10-18 | 2003-11-25 | Hitachi, Ltd. | Magnetron having a lowered oscillation frequency and processing equipment employing the same |
| JP2012028603A (en)* | 2010-07-26 | 2012-02-09 | Tokyo Electron Ltd | Plasma processing method and storage medium |
| KR20180030430A (en)* | 2016-09-15 | 2018-03-23 | 도쿄엘렉트론가부시키가이샤 | Method of etching silicon oxide and silicon nitride selectively against each other |
| KR20180087156A (en)* | 2017-01-24 | 2018-08-01 | 도쿄엘렉트론가부시키가이샤 | Method of processing target object |
| CN113632208A (en)* | 2019-04-05 | 2021-11-09 | 东京毅力科创株式会社 | Independent Control of Etch and Passivation Gas Compositions for Highly Selective Silicon Oxide/Silicon Nitride Etching |
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| EP0905757A3 (en)* | 1997-09-29 | 2000-10-25 | Siemens Aktiengesellschaft | Improved techniques for etching a silicon dioxide-containing layer |
| KR100616178B1 (en)* | 1997-09-29 | 2006-10-24 | 지멘스 악티엔게젤샤프트 | Improved techniques for etching a silicon dioxide-containing layer |
| JP2002530863A (en)* | 1998-11-16 | 2002-09-17 | アプライド マテリアルズ インコーポレイテッド | Method for etching oxides using hexafluorobutadiene or related hydrofluorocarbons and displaying a wide process window |
| US6653788B2 (en) | 2000-10-18 | 2003-11-25 | Hitachi, Ltd. | Magnetron having a lowered oscillation frequency and processing equipment employing the same |
| JP2012028603A (en)* | 2010-07-26 | 2012-02-09 | Tokyo Electron Ltd | Plasma processing method and storage medium |
| US8404590B2 (en) | 2010-07-26 | 2013-03-26 | Tokyo Electron Limited | Plasma processing method and storage medium |
| KR20180030430A (en)* | 2016-09-15 | 2018-03-23 | 도쿄엘렉트론가부시키가이샤 | Method of etching silicon oxide and silicon nitride selectively against each other |
| US10903084B2 (en) | 2016-09-15 | 2021-01-26 | Tokyo Electron Limited | Method of etching silicon containing films selectively against each other |
| US11404281B2 (en) | 2016-09-15 | 2022-08-02 | Tokyo Electron Limited | Method of etching silicon containing films selectively against each other |
| KR20180087156A (en)* | 2017-01-24 | 2018-08-01 | 도쿄엘렉트론가부시키가이샤 | Method of processing target object |
| CN113632208A (en)* | 2019-04-05 | 2021-11-09 | 东京毅力科创株式会社 | Independent Control of Etch and Passivation Gas Compositions for Highly Selective Silicon Oxide/Silicon Nitride Etching |
| KR20210136143A (en)* | 2019-04-05 | 2021-11-16 | 도쿄엘렉트론가부시키가이샤 | Independent control of etch gas composition and passivation gas composition for highly selective silicon oxide/silicon nitride etching |
| JP2022527552A (en)* | 2019-04-05 | 2022-06-02 | 東京エレクトロン株式会社 | Independent control of etching and passivation gas components for highly selective silicon oxide / silicon nitride etching |
| TWI874377B (en)* | 2019-04-05 | 2025-03-01 | 日商東京威力科創股份有限公司 | Plasma processing methods |
| Publication | Publication Date | Title |
|---|---|---|
| KR101887723B1 (en) | Etch process for controlling pattern cd and integrity in multi-layer masks | |
| TWI796358B (en) | Selectively etched self-aligned via processes | |
| US5997757A (en) | Method of forming connection hole | |
| US8980758B1 (en) | Methods for etching an etching stop layer utilizing a cyclical etching process | |
| US6914009B2 (en) | Method of making small transistor lengths | |
| KR20030024717A (en) | Post etch photoresist strip with hydrogen for organosilicate glass low-k etch applictions | |
| KR101333352B1 (en) | Etching method and device | |
| US10453751B2 (en) | Tone inversion method and structure for selective contact via patterning | |
| WO2013047464A1 (en) | Etching method and device | |
| US6121154A (en) | Techniques for etching with a photoresist mask | |
| US20240290623A1 (en) | Processing methods to improve etched silicon-and-germanium-containing material surface roughness | |
| JP2002520848A (en) | Two-step self-aligned contact etching | |
| JP3862035B2 (en) | Semiconductor device and manufacturing method thereof | |
| US7067429B2 (en) | Processing method of forming MRAM circuitry | |
| JPH0982688A (en) | Dry etching method | |
| KR20000057897A (en) | A method for depositing built-up structures upon a patterned mask surface resting on a predetermined substrate | |
| US6066567A (en) | Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process | |
| KR20050035674A (en) | Method for anisotropically etching silicon | |
| JPH0774147A (en) | Method and apparatus for dry etching | |
| JP3348504B2 (en) | Dry etching method | |
| JPH0485928A (en) | Dry etching method | |
| JP7202489B2 (en) | Plasma treatment method | |
| KR20040077272A (en) | Method of etching silicon nitride film | |
| JP3500178B2 (en) | Dry etching method | |
| KR102660694B1 (en) | Plasma processing method |
| Date | Code | Title | Description |
|---|---|---|---|
| A300 | Withdrawal of application because of no request for examination | Free format text:JAPANESE INTERMEDIATE CODE: A300 Effective date:20021203 |