Movatterモバイル変換


[0]ホーム

URL:


JP5045000B2 - 成膜装置、ガス供給装置、成膜方法及び記憶媒体 - Google Patents

成膜装置、ガス供給装置、成膜方法及び記憶媒体
Download PDF

Info

Publication number
JP5045000B2
JP5045000B2JP2006170585AJP2006170585AJP5045000B2JP 5045000 B2JP5045000 B2JP 5045000B2JP 2006170585 AJP2006170585 AJP 2006170585AJP 2006170585 AJP2006170585 AJP 2006170585AJP 5045000 B2JP5045000 B2JP 5045000B2
Authority
JP
Japan
Prior art keywords
gas
shower head
cooling
film forming
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006170585A
Other languages
English (en)
Other versions
JP2008001923A (ja
JP2008001923A5 (ja
Inventor
崇 掛川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron LtdfiledCriticalTokyo Electron Ltd
Priority to JP2006170585ApriorityCriticalpatent/JP5045000B2/ja
Priority to KR1020087030964Aprioritypatent/KR101089977B1/ko
Priority to CN2007800020357Aprioritypatent/CN101365823B/zh
Priority to PCT/JP2007/062328prioritypatent/WO2007148692A1/ja
Priority to TW096122094Aprioritypatent/TWI427679B/zh
Publication of JP2008001923ApublicationCriticalpatent/JP2008001923A/ja
Priority to US12/340,058prioritypatent/US8133323B2/en
Publication of JP2008001923A5publicationCriticalpatent/JP2008001923A5/ja
Application grantedgrantedCritical
Publication of JP5045000B2publicationCriticalpatent/JP5045000B2/ja
Activelegal-statusCriticalCurrent
Anticipated expirationlegal-statusCritical

Links

Images

Classifications

Landscapes

Description

本発明は、化学的蒸着(CVD)によって基板に対して所定の薄膜を形成する成膜装置、ガス供給装置、成膜方法及びその方法を実施するコンピュータプログラムを含んだ記憶媒体に関する。
半導体製造工程においては、被処理体である半導体ウエハ(以下ウエハと称する)に形成された配線間のホールを埋め込むために、あるいはバリア層として、Ti、Al、Cu等の金属や、WSi、TiN、TiSi等の金属化合物を堆積させて薄膜を形成している。これら金属や金属化合物の薄膜の成膜手法の一つとしてCVD法があり、この方法は物理的蒸着(PVD)に比べて埋め込み性が良好である利点がある。
CVD成膜装置は、チャンバー内に設けられたヒータを内蔵したウエハのステージと、前記ステージの上方に対向する、処理ガス吐出用のシャワーヘッドとを備え、チャンバー内の処理空間を所定の真空度にするとともに、ステージ上のウエハを所定の温度に加熱しつつ、シャワーヘッドから処理ガスをチャンバー内に連続的に供給することで、ウエハ表面で化学反応を生じさせ、その反応物をウエハ表面に堆積させて成膜を行う。
ところで例えば処理ガスとしてTiCl4とNH3とを用いてウエハ上にTiN膜を形成する時に、処理ガスから生じた低次のTiClxのウエハへ付着を避けるために、シャワーヘッドにおける処理空間に接する部位の温度コントロールが必要となる場合がある。このためシャワーヘッド側にもヒータを設けている。
一方、良好な膜質の薄膜を密着性及びステップカバレージ良く形成するために、CVDの一つの手法であるSFD(シーケンシャルフローデポジション)とよばれる手法を用いて成膜処理を行う場合がある。このSFDは、成膜原料を含んだ処理ガスをチャンバー内の処理空間に断続的に供給するサイクルを繰り返し行うことでウエハに分子層を積層させて所望の厚さの薄膜を形成する手法である。
このSFDにより成膜を行う場合、短時間で処理ガスにエネルギーを与えて化学反応を起こさせるため、従来のCVDを行う場合に比べて通常はステージに設けられたヒータの温度が高温に設定される。しかしそのようにステージのヒータの温度を高くすると、成膜処理中において処理空間に接するシャワーヘッド表面の温度が、そのヒータから輻射される熱を受けて上昇して、当該シャワーヘッド表面にも処理ガスによる膜が形成されやすくなる。
そのように膜がシャワーヘッドに付くと、その膜が熱を吸収してシャワーヘッドが昇温し、その昇温により更にシャワーヘッドに膜が付きやすくなり、当該シャワーヘッドが更に昇温するため、ヒータによる温度コントロールができなくなり、その結果として成膜処理に必要な温度制御が行われないおそれがある。またシャワーヘッドがニッケルの場合、ニッケル化合物が生成されてパーティクルの要因になる。
ここで特許文献1にはシャワーヘッドの上方に加熱手段が設けられ、その加熱手段の上方に冷却手段が設けられた成膜装置について記載されている。しかしSFDのように、処理空間側からの熱によりシャワーヘッドが昇温し、その昇温を抑えるように温度制御する場合には、冷却手段の冷却作用が直接シャワーヘッドに働かずにヒータを介して行われるため、応答性が悪く、処理空間に面するシャワーヘッド表面の温度を精度よく制御できない。
特開2002−327274(段落0038、図1)
本発明はこのような問題を解決するためになされたものであって、その目的は、処理空間に面するシャワーヘッドの表面の温度を設定温度に精度よく制御することができる成膜装置、ガス供給装置、成膜方法及びその方法を実施するためのコンピュータプログラムを含んだ記憶媒体を提供することにある。
本発明の成膜装置は、基板に成膜処理を施す処理空間を形成するチャンバーと、このチャンバー内に設けられ、基板を載置するステージと、前記ステージに載置された基板を加熱する基板用加熱手段と、前記ステージに対向して設けられた、多数のガス吐出孔を有するシャワーヘッドと、このシャワーヘッドを介してチャンバー内に処理ガスを供給するガス供給機構と、前記シャワーヘッドの上方に設けられた、シャワーヘッドを冷却する冷却手段と、この冷却手段の上方に設けられ、当該冷却手段を介してシャワーヘッドを加熱するシャワーへッド用加熱手段と、を備えていることを特徴とする。
なお本発明の成膜装置により処理される基板としては、半導体ウエハやLCD基板、ガラス基板、セラミックス基板などが挙げられる。
また本発明のガス供給装置は、チャンバー内のステージに載置された基板に処理ガスを供給するためのガス供給装置において、前記ステージに対向して設けられるシャワーヘッドと、前記シャワーヘッドの上方に設けられた、シャワーヘッドを冷却する冷却手段と、この冷却手段の上方に設けられ、当該冷却手段を介してシャワーヘッドを加熱するシャワーへッド用加熱手段と、を備えたことを特徴とする。
本発明の成膜装置及びガス供給装置において、冷却手段は、例えば冷却用フィンとこの冷却用フィンに冷却用ガスを供給する冷却用ガス供給路と、を備えており、この場合例えば各冷却用フィンは起立し、横方向に並行状に伸びるように配列され、冷却用ガス供給路は冷却用フィン間の横長の隙間の一端側から他端側に向けて冷却用ガスを通流させるために当該一端側にガス吹き出し口が開口している。
また冷却手段及びシャワーへッド用加熱手段は、排気口を備えた筐体の中に収納されていてもよく、シャワーヘッドは、ガス吐出孔に連通するガス拡散室を備え、このガス拡散室には、シャワーヘッドの上面部と下面部との間の熱伝導のための多数の柱部が島状に配置されていてもよい。また、例えばシャワーヘッドの下面に対応する温度を検出するための温度検出部と、この温度検出部の温度検出値に基づいてシャワーへッド用加熱手段を制御する制御部と、を備えていてもよい。
また冷却手段も温度検出値に基づいて温度制御してもよい。
なお成膜装置における成膜処理は、例えば第1の処理ガスと第2の処理ガスとを同時あるいは別々に多数回処理空間に供給することにより薄膜の成分層を基板に重ねて積層することで成膜を行うものであり、この場合例えば第1の処理ガスはチタン化合物であり、第2の処理ガスはアンモニアガスである。
本発明の成膜方法は、基板に成膜処理を施す処理空間を形成するチャンバーと、このチャンバー内に設けられ、基板を載置するステージと、前記ステージに載置された基板を加熱する基板用加熱手段と、前記ステージに対向して設けられた多数のガス吐出孔を有するシャワーヘッドと、を備えた成膜装置を用いて基板に成膜を行う方法について、基板をステージに載置する工程と、基板を基板用加熱手段により加熱する工程と、ガス供給機構によりシャワーヘッドを介して処理空間に処理ガスを供給する工程と、シャワーヘッドの上方に設けられた冷却手段によりシャワーヘッドを冷却する工程と、前記冷却手段の上方に設けられた、シャワーヘッド用加熱手段により当該冷却手段を介してシャワーヘッドを加熱する工程と、を含むことを特徴とする。
さらに本発明の記憶媒体は、基板に成膜処理を施す処理空間を形成するチャンバーと、このチャンバー内に設けられ、基板を載置するステージと、前記ステージに載置された基板を加熱する基板用加熱手段と、前記ステージに対向して設けられた多数のガス吐出孔を有するシャワーヘッドと、を備えた成膜装置を用いて基板に成膜を行う方法を実施するコンピュータプログラムを備えた記憶媒体において、既述の成膜方法を実施するためのステップ群を含んだコンピュータプログラムを備えたことを特徴とする。
本発明は、シャワーヘッドの上方に冷却手段を設け、更にその上にシャワーへッド用加熱手段を設けている。このため処理空間側からの熱によってシャワーヘッドが昇温しすぎる場合にはシャワーヘッドの直ぐ上に設けられた冷却手段の冷却作用により昇温が抑えられ、そして冷却手段の上方の加熱手段を補助的に活用して冷却作用が調整されるので処理空間に面するシャワーヘッド表面の温度が設定温度より上昇することが抑えられ、しかも設定温度に精度よくコントロールできる。従って基板間で均一性の高い成膜処理を行うことができる。
図1は本発明の一実施形態に係るSFDにより基板であるウエハWにTiN薄膜を形成する成膜装置を示す概略断面図である。この成膜装置1は、気密に構成された略円筒状のチャンバー2を有しており、チャンバー2の底部中央には下方に突出したステージ保持部材21がシールリングを介して取り付けられている。図中Sはチャンバーに囲まれる処理空間である。チャンバー2、ステージ保持部材21は図示しない加熱機構を有し、これらは図示しない電源から給電されることにより所定の温度に加熱される。
チャンバー2の側壁には、ウエハWの搬入出を行うための搬入出口22と、この搬入出口22を開閉するゲートバルブ23とが設けられている。
ステージ保持部材21は、円筒状に構成されており、その側壁には排気管24が接続されている。この排気管24には排気手段25が接続されており、この排気手段25が後述の制御部100からの制御信号を受けて作動することによりチャンバー2内が所定の真空度まで減圧される。
チャンバー2の中には基板であるウエハWを水平に載置するための載置台であるステージ3が設けられており、ステージ3は円筒形状の支持部材31により支持されている。支持部材31の下端は図示しないシールリングを介してステージ保持部材21に取り付けられている。
前記ステージ3内にはウエハ用ヒータ32が埋め込まれており、このウエハ用ヒータ32は図示しない電源から給電されることによりウエハWを所定の温度に加熱する。ステージ3には、ウエハWを支持して昇降させるための3本(2本のみ図示)のウエハ支持ピン33がステージ3の表面に対して突没可能に設けられ、これらウエハ支持ピン33は支持板34に固定されている。そして、ウエハ支持ピン33は、例えばモータを含んだ駆動機構35により支持板34を介して昇降される。
チャンバー2の上部には支持部材2aが設けられており、この支持部材2aを介してステージ3に対向するようにシャワーヘッド4が設けられている。図2はシャワーヘッド4の縦断面図であり、この図を参照しながらシャワーヘッド4の構成について説明する。シャワーヘッド4は、ベース部41、スペーサ部51及びシャワープレート42により構成されており、ベース部41の中央下面部にはスペーサ部51が設けられ、またスペーサ部51の下面側にはシャワープレート42が設けられている。図中40は、スペーサ部51及びシャワープレート42をベース部41に固着するためのねじであり、図中40aはねじ穴である。
ベース部41は偏平な円形状に形成されており、その下端の外側にはフランジ部が設けられ、このフランジ部が前記支持部材2aに支持される。ベース部41の内部には互いに区画された第1のガス流路41a及び第2のガス流路41bが夫々形成されている。またベース部41の上側部にはその上側部の温度を検出する検出部であるセンサ4Aが設けられており、この温度検出センサ4Aは、検出した温度に対応する電気信号を後述する制御部100に送信する。なおこの温度検出センサ4Aにより検出される温度はシャワーヘッド4において処理空間Sに面する表面の温度に対応する。
図3、図4はスペーサ部51の上面側、下面側の構造を夫々示したものである。スペーサ部51は、円板部52とその円板部52の周縁において上下に夫々突き出した突縁部53、54とを有しており、突縁部53の上面は前記ベース部41に、突縁部54の下面はシャワープレート42に夫々密着する。突縁部53、円板部52及びベース部41に囲まれる空間は第1のガス拡散室52aとして構成されており、また突縁部54、円板部52及びシャワープレート42に囲まれる空間は第2のガス拡散室52bとして構成されている。
第1のガス拡散室52aはベース部41の第1のガス流路41aと連通している。また図3、図4では図示を省略しているが、図2に示すように円板部52の厚さ方向に沿って設けられた、区画された中間路50を介してベース部41の第2のガス供給路41bと第2のガス拡散室52bとが連通している。
図3に示すように円板部52の上面側には例えば互いに間隔をおいて上方に向かう多数の柱部であるボス55が、島状に設けられており、これら各ボス55の上面(先端面)はベース部41の下面に接する。冷却部材6によりベース部41が冷却された際に各ボス55はスペーサ部51に効率良くベース部41の冷気を伝え、当該スペーサ部51の表面及びこのスペーサ部51に接続されるシャワープレート42の表面の温度を高精度に制御する役割を有する。例えばこのスペーサ部51の直径は340mmであり、このスペーサ部51においてベース部41に接する面積の合計は例えば385cm2程度である。この合計面積はスペーサ部51のベース部41への投影領域の面積の約42%である。
図4に示すように円板部52の下面には間隔をおいて、その下面全体に亘り、多数の突起(ボス)56が設けられており、これら各ボス56先端面はシャワープレート42の上面に接する。また各ボス56及び円板部52を厚さ方向に貫くようにガス導入孔57aが穿設されており、この第1のガス導入孔57aは、前記第1のガス拡散室52aと連通している。なお図3では図示の便宜上ガス導入孔57aは数個しか描かれていないが実際は図4のボス56に対応するように多数設けられている。また図3、図4は円板部の上面、下面を夫々模式的に示したものにすぎず、ボス55及びボス56の大きさ、各ボス間の間隔、各ボス55,56の個数は夫々適宜変更し得るものである。
シャワープレート42は円板状に形成された部材であり、厚さ方向に穿設された多数のガス吐出孔を有し、前記ガス吐出孔は、例えばシャワープレート42全体に亘ってマトリクス状に配列されている。これらのガス吐出孔は、第1のガス拡散室52aに連通する第1のガス吐出孔42a及び第2のガス拡散室52bに連通する第2のガス吐出孔42bにより構成されており、第1及び第2のガス吐出孔42a、42bは夫々交互に配列されている。
第1のガス流路41aに供給されたガスは、第1のガス拡散室52a及びガス導入孔57aを介してガス吐出口42aからシャワー状に処理空間Sに吐出され、ステージ3上のウエハWに供給される。また第2のガス流路41bに供給されたガスは、中間路50、第2のガス拡散室52bを介してガス吐出口42bからシャワー状に処理空間Sに吐出され、ステージ3上のウエハWに供給される。これら第1及び第2のガス流路41a、41bに供給された各ガスはシャワーヘッド4内では互いに混合されない。
図5はベース部41の上部の構成を示した斜視図であり、この図に示すようにベース部41上には例えばアルミニウムにより構成される冷却部材6が設けられている。図6は冷却部材6の上面図であり、冷却部材6は円板状の基部61と、その基部61の上面に起立する多数の冷却用フィン62とによって構成されており、各冷却用フィン62は基部61の接線方向に沿って夫々並行するように伸長している。基部61の中央は、後述するガス供給ブロック81の接続領域となっており、当該中央には角形の孔61aが基部61の厚さ方向に設けられている。この孔61aを介して後述のガス供給ブロック81がシャワーヘッド4に接続されている。
この図6に示すように基部61上には当該基部61の一端から中心に向かうように冷却ガス導入管63が配設されており、この冷却ガス導入管63は、基部61上をその直径に沿って伸長する冷却ガス吐出管64の中央部に接続されている。また基部61上には前記ガス供給ブロック81の接続領域を囲うように側管65が設けられ、側管65の両端は冷却ガス吐出管64に接続されている。冷却ガス吐出管64及び側管65には各冷却用フィン62間の横長の隙間に、冷却用フィン62の伸長方向に沿ってガスを吐出するためのガス吹き出し口である冷却ガス吐出孔66が各々間隔をおいて設けられており、また冷却ガス導入管63には冷却ガスとして例えばドライ・エアが貯留された冷却ガス供給源67が接続されている。図中V1はバルブであり制御部100の電気信号を受けて冷却ガス供給源67から冷却ガス導入管63への冷却ガスの給断を制御する。
制御部100の電気信号を受けてバルブV1が開かれると、図7に示すように所定の流量の冷却ガスが、冷却ガス供給源67から冷却ガス導入管63を介して冷却ガス吐出管64及び側管65に流入し、冷却ガス吐出孔66から吐出される。吐出された冷却ガスは、図中矢印で示すように冷却用フィン62に沿って冷却部材6の周縁部へと向かい、冷却用フィン62及び基部61の表面がこの冷却ガスの気流に曝されて冷却される。このように冷却部材6が冷却されると、隣接するシャワーヘッド4が冷却される。なお冷却部材6、冷却ガス導入管63、冷却ガス吐出管64、側管65及び冷却ガス供給源67は特許請求の範囲でいう冷却手段を構成し、また冷却ガス導入管63、冷却ガス吐出管64及び側管65は冷却用ガス供給路を構成する。
また図8に示すように冷却用フィン62の上部にはアルミニウムからなる板状部材70を介してシャワーへッド用加熱手段である円板状のシャワーへッド用ヒータ71が設けられており、このヒータ71は、板状部材70及び冷却部材6を介してシャワーヘッド4を加熱する。シャワーへッド用ヒータ71は、発熱抵抗体72を上下から絶縁材であるゴムシート73で挟んだ構成を有する。図中74は例えばアルミニウムからなる板状部材である。このヒータ71としては金属板に発熱抵抗体が埋め込まれたようなものを用いてもよいが、装置の軽量化を図る観点から上述のような構成にすることが好ましい。
シャワーヘッド4の温度検出センサ4Aから電気信号を受けた制御部100は、その温度検出センサ4Aが例えば予め設定された温度となるようにシャワーへッド用ヒータ71に電気信号を送信して、そのヒータ71の出力を調整し、ヒータ71は冷却部材6を介してシャワーヘッド4を加熱する。
ヒータ71から発する熱と既述の冷却部材6の冷気とによって、シャワーヘッド4の処理空間Sに面する表面が、その表面においてTiN膜の成膜が抑えられ、かつ処理空間SにおいてウエハWに良好な成膜処理が行える温度に制御される。なお成膜処理中において前記シャワーヘッド4表面へのTiN膜の形成を防ぐためにその表面の温度は、185℃以下に制御されることが好ましい。
ベース部41の上部中央にはガス供給ブロック81が設けられている。図1に示すようにガス供給ブロック81には第1のガス供給管81a、第2のガス供給管81bが設けられている。第1のガス供給管81aの一端は第1のガス流路41aに接続されており、その他端は分岐して第1の処理ガスであるNH3ガス及びキャリアガスであるN2(窒素)ガスが夫々貯留されたガス供給源82,83に接続されている。
また第2のガス供給管81bの一端は第2のガス流路41bに接続されており、その他端は分岐して第2の処理ガスであるTiCl4ガス、キャリアガスであるN2ガス及びクリーニングガスであるClF3ガスが夫々貯留されたガス供給源84,85,86に接続されている。また各ガス供給管81a,81bにはバルブ及びマスフローコントローラなどにより構成されるガス供給機器群87が介設されており、このガス供給機器群87は後述の制御部100からの制御信号を受信して各処理ガスの給断を制御する。なお各ガス供給源82〜86、各ガス供給管81a,81b、ガス供給機器群87は特許請求の範囲でいうガス供給機構を構成する。
図9は成膜装置1の上面を示した斜視図であり、この図に示すようにチャンバー2上には板部材2bを介して、冷却部材6及びヒータ71を収納するように筺体であるカバー27が設けられている。図1中27aはこのカバー27に囲まれる排気空間であり、カバー27の上部にはこの排気空間27aに向けて開口した排気口28aが設けられている。排気口28aには排気管29の一端が接続されており、排気管29の他端は排気手段29aに接続されている。
吐出孔66から吐出された冷却ガスは、冷却部材6を冷却した後、排気手段29aによって排気空間27aを介して排気管29に流入して除去される。
この成膜装置1には例えばコンピュータからなる制御部100が設けられている。制御部100はプログラム、メモリ、CPUからなるデータ処理部などを備えており、前記プログラムには制御部100が成膜装置1の各部に制御信号を送り、後述の作用を実施することでウエハWに対してTiN膜が成膜できるように命令が組まれている。また、例えばメモリには処理圧力、処理時間、ガス流量、電力値などの処理パラメータの値が書き込まれる領域を備えており、CPUがプログラムの各命令を実行する際これらの処理パラメータが読み出され、そのパラメータ値に応じた制御信号がこの成膜装置1の各部に送られることになる。
このプログラム(処理パラメータの入力用画面に関連するプログラムも含む)は、例えばフレキシブルディスク、コンパクトディスク、MO(光磁気ディスク)などにより構成される記憶媒体である記憶部101に格納されて制御部100にインストールされる。
次いで上述の成膜装置1の作用としてウエハW表面にTiN膜を成膜する成膜方法について図10を参照しながら説明する。先ず排気手段25によりチャンバー2内を真空引きし、ガス供給源83から不活性ガスであるN2ガスが所定の流量でチャンバー2内に供給される。またこのときヒータ32によりステージ3が所定の温度例えば600℃〜700℃程度まで加熱されると共にチャンバー2の不図示のヒータが加熱され、チャンバー2内が所定の温度に維持される。
ヒータ32及びチャンバー2の前記不図示のヒータの昇温後、冷却ガス供給源67から冷却ガスが、冷却ガス吐出管64に例えば流量150L/minで供給され、その冷却ガスがガス吐出孔66から吐出されて冷却部材6が冷却され(ステップS1)、例えば冷却ガスの供給と略同時に、排気手段29aが作動して排気空間27aが排気される。また冷却ガスが供給されると、ガスシャワーヘッド用ヒータ71が昇温して、冷却部材6を介してシャワーヘッド4に熱が伝わり、例えば温度検出センサ4Aにより検出される温度が、例えば165℃に維持されるようにシャワーヘッド4の温度が制御される(ステップS2)。
その後、ゲートバルブ23を開き、図示しない搬送アームによりウエハWがチャンバー2内に搬入される。そして当該搬送アームとウエハ支持ピン33との協働作業によりウエハWをステージ3の上面に載置し、ゲートバルブ23を閉にする(ステップS3)。
図11は、ウエハWにTiN膜の薄膜を形成する工程におけるガスの給断及び圧力の制御を時系列に沿って示したものである。ステージ3に載置されたウエハWが所定の温度で加熱され(ステップS4)、チャンバー2内の温度が所定の温度に維持されると共にチャンバー2内の圧力が例えば260Paに維持されると、図示するように時刻t1にて両処理ガスの供給がONになり、先ず時刻t1からt2に至るまで例えばTiCl4ガスとNH3ガスとが夫々所定の流量でチャンバー2内に供給され、これらTiCl4ガスとNH3ガスとが下記の(1)式のように反応してウエハWの表面にTiN膜が成膜される(ステップS5)。
6TiCl4+8NH3→6TiN+24HCl+N2 …(1)
続いて時刻t2にてTiCl4ガス及びNH3ガスの供給を停止し、チャンバー2内に残留した未反応のガスや反応副生成物を除去する。この際には、例えばN2ガスを供給してもよい。その後TiCl4ガスの供給を停止したまま、NH3ガスの供給を所定の流量で所定時間行い(詳しくはNH3ガスに加えてそのキャリアガスとしてN2ガスも供給される)、ウエハW上に成膜したTiNの中に含まれる残留塩素をNH3ガスにより還元し、この還元反応によって生じた塩化物がチャンバー2内から除去される。
そしてNH3ガスの供給を停止し、当該チャンバー2内の残留NH3ガスが排気される。このとき例えばN2ガスを供給してもよい。以上で時刻t3に至った時点で1サイクルが終了する。
この後、時刻t1から当該時刻t3に至るまでに行ったのと同様のステップ群が繰り返され、それ以後このステップ群が繰り返されて例えば時刻t1〜t3までのステップが10サイクル以上、好ましくは30サイクル以上、所望のTiN膜を得られるまで繰り返される。このサイクル数については1サイクルで形成される薄膜の膜厚によって適宜調整される。
ウエハW表面へのTiN膜の形成完了後、TiCl4及びNH3の両処理ガスの供給を停止し、所定の時間チャンバー2内のパージを行い、しかる後NH3ガスをキャリアガスであるN2ガスと共にチャンバ2内に供給して、ウエハW上のTiN膜表面の窒化処理を行う。こうして所定枚数のウエハWに対して同様の工程で繰り返し成膜処理が行われる。
所定枚数のウエハWへの成膜処理後、チャンバー2内に付着した不要な成膜物を除去するため、当該チャンバー2内にClF3ガスを供給してクリーニングが行われる。
上述の成膜装置1によれば、シャワーヘッド4の上方に冷却ガスにより冷却される冷却部材6を設け、更にその上にシャワーへッド用ヒータ71を設けている。このため処理空間S側からのウエハ用ヒータ32により輻射された熱やチャンバー2の図示しない加熱手段から輻射された熱によってシャワーヘッド4の温度が昇温しすぎる場合にはシャワーヘッド4の直ぐ上に設けられた冷却部材6の冷却作用により昇温が抑えられ、そして冷却手段6の上方のシャワーヘッド用ヒータ71を補助的に活用して冷却作用が調整されるので処理空間Sに面するシャワーヘッド表面の温度が設定温度より上昇することが抑えられ、しかも設定温度に精度よくコントロールできる。従って、ウエハW間で均一性の高いTiN膜の成膜処理を行うことができる。
またシャワーヘッド4に設けられた処理ガスの第1の拡散室52aを構成するスペーサ部51の上面には、多数のボス55が設けられ、処理ガスの第2の拡散室を構成するスペーサ部51の下面には多数のボス56が設けられ、ボス55はベース部41に、ボス56はシャワープレート42に夫々接することにより、ベース部41とスペーサ部51及びシャワープレート42との間で熱伝導が効率良く行われるため、スペーサ部51及びシャワープレート42表面の温度をより高い精度で設定温度に制御することができる。
なお冷却手段として上述の成膜装置のように冷却部材6及びガス吐出管64などを設ける代わりに、例えば内部に通気室を設けたブロックをシャワーヘッド4とヒータ71との間に設置し、当該通気室に冷却ガスを流通させるようなものであってもよく、またペルチェ素子により構成された冷却部材を用いてもよい。
また例えば冷却液が通流する流路が表面に設けられたプレートを冷却手段としてシャワーヘッド4上に設けてもよいが、既述の実施形態のように冷却ガスによる冷却手段を設けた方が冷却液が通流する配管の引き回しが不要になり、成膜装置1を構成する部品のレイアウトの自由度が高くなると共に装置の大型化を抑えることができるため好ましい。また上述の実施形態において、各冷却用フィン62は起立して設けられ、各フィン62間の隙間に冷却ガスが通流される構成であるため、冷却ガスに曝される冷却部材6の表面積を大きくとることができる一方で冷却部材6の床面積を抑えることができる。従って装置の大型化をより抑えることができる。
なお上述の成膜工程では処理ガスをパルス的にチャンバー2内に導入するプロセスを繰り返し行い、膜を段階的に積層させているが、処理ガスを連続的に供給するCVD成膜処理を行ってもよい。またTiCl4ガス及びNH3ガスを同時にチャンバー2内に供給するのではなく夫々交互に供給することにより処理空間Sの雰囲気をTiCl4ガスによる雰囲気と、NH3ガスによる雰囲気とに交互に多数回、切り替えて、ウエハW上にTi原子層(あるいは分子層)の形成と窒化とを交互に形成することでTiN膜を形成してもよい。
例えばシャワーヘッド4に高周波を印加し、チャンバー2内にプラズマを発生させ、そのプラズマのエネルギーとウエハ用ヒータ32の熱エネルギーとを利用してウエハWに成膜を行ってもよい。その他に上記実施の形態ではTiN膜の成膜処理を例にとって説明したが、これに限らず成膜装置1は、Ti膜など他の膜のCVD成膜処理に適用することもできる。
また上述の成膜装置1のシャワーヘッド4と、その上方の冷却部材6及びその冷却部材6に冷却ガスを供給する各配管と、ヒータ71とからなるガス供給装置を構成してもよい。
なお成膜装置1を含む本発明の成膜装置及びガス供給装置は、SFDのように何も温度コントロールをしなかったらシャワーヘッド4が設定温度よりも高くなってしまうプロセスを行う場合に有効である。
続いて本発明の効果を確認するために以下の実施例及び比較例を行った。以下の実施例においては既述の成膜装置1を用いて、比較例においては図12に示した成膜装置9を用いてウエハWに対して処理を行った。成膜装置9は成膜装置1と略同様に構成されており、図中、成膜装置1と同様の構成を有する各部については成膜装置1に対して用いた符号と同一の符号を用いている。なおこの成膜装置9に設けられる制御部の図示は省略しているが、この成膜装置9の制御部は制御部100と同様にウエハWにTiN膜が成膜されるように当該成膜装置9の各部を制御する。
成膜装置9においてはシャワーヘッド4の上方には冷却部材6及びカバー29などが設けられておらず、その代わりにヒータ91がシャワーヘッド4上に積層されている。またヒータ91上には断熱材92が積層されている。
またこの成膜装置9のシャワーヘッド4はスペーサ部51の代わりに、従来のシャワーヘッドに用いられるスペーサ部93を備えており、図13はこのスペーサ部93の上面の構成を示した図である。この図に示すように円板部52の上面にはボス55が設けられておらず、その代わりに円板部52の直径方向に沿って、互いに直交する2本のリブ94が設けられている。リブ94の上面はベース部41の下面に密着する。またスペーサ部93の直径は成膜装置1のスペーサ部51の直径と同じ340mmである。スペーサ部93においてベース部41に接する面積の合計は276cm2であり、これはスペーサ部93のベース部41への投影領域の面積の約30%に相当し、スペーサ部51がベース部41に接する面積よりも小さい。
(実施例1−1)
成膜装置1を用いて上述の実施の形態に示した手順に従ってウエハWに対してTiN膜の成膜を行った。成膜処理中のヒータ71の温度は165℃に設定し、またガス供給源67から冷却部材6に供給される冷却ガスの流量は150L/minに設定した。またシャワーヘッド4の表面に熱電対からなる温度検出センサ(TC)を取り付け、そしてステージ3のウエハ用ヒータ32の温度を変更して、前記TCにより検出されるシャワーヘッド4の温度を調べた。
(比較例1−1)
実施例1−1と同様の手順でステージ3のウエハ用ヒータ32の設定温度を変更して、実施例1−1と同様に、シャワーヘッド4の表面に取り付けたTCにより検出される当該シャワーヘッド4の温度を調べた。ただしシャワーヘッド4上のヒータ91の設定温度は170℃とした。
図14(a)は実施例1−1及び比較例1−1の結果を示したグラフである。このグラフに示すようにステージ3のヒータ32の温度が高く設定されると、実施例1−1に比べて比較例1−1は急激にシャワーヘッド4表面のTCにより検出される温度が高くなっている。従ってこのグラフから実施例1−1における処理空間Sに面するシャワーヘッド4の表面温度は、比較例1−1におけるシャワーヘッド4の表面温度に比べて抑えられているといえる。
また実施例1−1のグラフの傾きは、比較例1−1のグラフの傾きに比べて小さいことから、実施例1−1では比較例1−1に比べてシャワーヘッド4の温度上昇が抑えられているといえる。
(実施例1−2)
予め処理空間Sに面するシャワーヘッド4表面にTiN膜を形成(プリコート)してから既述の実施形態と同様にウエハWの処理を行った他は実施例1−1と同じく、ステージ3のヒータ32の設定温度を変更して、シャワーヘッド4表面のTCにより検出される温度を調べた。
(比較例1−2)
実施例1−2と同様に予め処理空間Sに面するシャワーヘッド4表面にTiN膜をプリコートした他は比較例1−1と同様にステージ3のヒータ32の設定温度を変更して、シャワーヘッド4表面のTCにより検出される温度を調べた。
図14(b)は実施例1−2及び比較例1−2の結果を示したグラフである。このグラフに示すように比較例1−2に比べて実施例1−2で前記TCにより検出されるシャワーヘッド4の温度は低く、従って実施例1−2のシャワーヘッド4の表面温度は比較例1−2のシャワーヘッド4の表面温度に比べて抑えられていることが分かる。
また図14(b)のグラフの600℃〜700℃付近の温度範囲において実施例1−2のグラフの傾きは比較例1−2のグラフの傾きよりも小さく、従ってこの範囲において実施例1−2では比較例1−2に比べてシャワーヘッド4の温度上昇が抑えられているといえる。
(実施例2)
実施例2では既述の成膜装置1を用いて実施の形態に示した手順に従って500枚のウエハWに順次TiN膜を成膜し、この成膜時における温度検出センサ4Aが示すシャワーヘッド4の温度の変化と、シャワーヘッド4上のヒータ71の出力とをモニタした。なお制御部100のプログラムには成膜処理中にセンサ4Aの温度が165℃に維持されるようにヒータ71の温度が調整されるように設定されている。
(比較例2)
比較例2では既述の成膜装置9を用いて実施の形態に示した手順に従って500枚のウエハWに順次TiN膜を成膜し、この成膜時における温度検出センサ4Aの示す値の変化と、シャワーヘッド4上のヒータ92の出力とをモニタした。なおこの成膜装置9の制御部のプログラムには成膜処理中にセンサ4Aの温度が170℃に維持されるようにヒータ92の温度が調整されるように設定されている。
図15(a)は実施例2及び比較例2のモニタされた温度を示しており、実施例2では設定通りの温度165℃で推移しているのに対し、比較例2では処理開始直後に設定された温度170℃を超え、その後時間が経過するにつれて温度が上昇している。
図15(b)は実施例2のヒータ71及び比較例2のヒータ92の出力を示しており、このグラフの横軸に示す時間は、図15(a)のグラフの横軸に示す時間に対応している。このグラフに示すように実施例のヒータ71の出力は処理開始直後に90%程度に上昇した後50%に下降し、その50%周辺で安定しているが、比較例2においては開始直後から出力が低下し、略0%になっている。
従って図15(a),(b)のグラフから実施例2においては冷却部材6及びヒータ71によりシャワーヘッド4を安定して温度制御することができるため、シャワーヘッド4の処理空間Sに向かう表面の温度を制御でき、その表面においてTiN膜の成膜を抑えることができることが示された。一方、比較例2においてはヒータ71の出力がゼロになってもシャワーヘッド4の温度は上昇し続けており、そのシャワーヘッド4の温度が制御できなくなっていることが分かる。
本発明の一実施形態に係る成膜装置を示す断面図である。前記成膜装置のシャワーヘッドを示す拡大図である。前記シャワーヘッドを構成するスペーサ部の上面の斜視図である。前記スペーサ部の下面の斜視図である。前記シャワーへッドの上方の各部の構成を示す斜視図である。前記シャワーヘッドの上方の冷却部材の上面図である。冷却部材に冷却ガスが供給される様子を示した説明図である。シャワーヘッドの上方のヒータの断面図である。成膜装置の上面の構成を示した斜視図である。ウエハへの成膜工程を示すフロー図である。成膜処理における各処理ガスの供給のオン、オフを示す説明図である。比較例で用いる成膜装置を示す断面図である。前記成膜装置のシャワーヘッドを構成するスペーサ部の上面図である。本発明の成膜装置及び従来の成膜装置の成膜処理時におけるシャワーヘッドの温度を示したグラフである。本発明の成膜装置及び従来の成膜装置のシャワーヘッドの温度及びシャワーヘッドのヒータの出力の変化を示したグラフである。
符号の説明
W 半導体ウエハ
1 成膜装置
2 チャンバー
3 ステージ
32 ウエハ用ヒータ
4 シャワーヘッド
6 冷却部材
71 シャワーへッド用ヒータ

Claims (23)

  1. 基板に成膜処理を施す処理空間を形成するチャンバーと、このチャンバー内に設けられ、基板を載置するステージと、前記ステージに載置された基板を加熱する基板用加熱手段と、前記ステージに対向して設けられた多数のガス吐出孔を有するシャワーヘッドと、を備えた成膜装置を用いて基板に成膜を行う方法について、
    基板をステージに載置する工程と、
    基板を基板用加熱手段により加熱する工程と、
    ガス供給機構によりシャワーヘッドを介して処理空間に処理ガスを供給する工程と、
    シャワーヘッドの上方に設けられた冷却手段によりシャワーヘッドを冷却する工程と、
    前記冷却手段の上方に設けられた、シャワーヘッド用加熱手段により当該冷却手段を介してシャワーヘッドを加熱する工程と、
    を含むことを特徴とする成膜方法。
JP2006170585A2006-06-202006-06-20成膜装置、ガス供給装置、成膜方法及び記憶媒体ActiveJP5045000B2 (ja)

Priority Applications (6)

Application NumberPriority DateFiling DateTitle
JP2006170585AJP5045000B2 (ja)2006-06-202006-06-20成膜装置、ガス供給装置、成膜方法及び記憶媒体
CN2007800020357ACN101365823B (zh)2006-06-202007-06-19成膜装置和成膜方法
PCT/JP2007/062328WO2007148692A1 (ja)2006-06-202007-06-19成膜装置及び成膜方法
KR1020087030964AKR101089977B1 (ko)2006-06-202007-06-19성막 장치 및 성막 방법, 가스 공급 장치 및 기억 매체
TW096122094ATWI427679B (zh)2006-06-202007-06-20Film forming apparatus and film forming method
US12/340,058US8133323B2 (en)2006-06-202008-12-19Film forming apparatus and method, gas supply device and storage medium

Applications Claiming Priority (1)

Application NumberPriority DateFiling DateTitle
JP2006170585AJP5045000B2 (ja)2006-06-202006-06-20成膜装置、ガス供給装置、成膜方法及び記憶媒体

Publications (3)

Publication NumberPublication Date
JP2008001923A JP2008001923A (ja)2008-01-10
JP2008001923A5 JP2008001923A5 (ja)2009-07-02
JP5045000B2true JP5045000B2 (ja)2012-10-10

Family

ID=38833434

Family Applications (1)

Application NumberTitlePriority DateFiling Date
JP2006170585AActiveJP5045000B2 (ja)2006-06-202006-06-20成膜装置、ガス供給装置、成膜方法及び記憶媒体

Country Status (6)

CountryLink
US (1)US8133323B2 (ja)
JP (1)JP5045000B2 (ja)
KR (1)KR101089977B1 (ja)
CN (1)CN101365823B (ja)
TW (1)TWI427679B (ja)
WO (1)WO2007148692A1 (ja)

Families Citing this family (429)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
JP5202050B2 (ja)*2008-03-142013-06-05東京エレクトロン株式会社シャワーヘッド及び基板処理装置
FR2930561B1 (fr)*2008-04-282011-01-14Altatech SemiconductorDispositif et procede de traitement chimique en phase vapeur.
JP5674645B2 (ja)*2008-05-282015-02-25アイクストロン・アーゲー温度勾配型化学気相成長(tge−cvd)
US20110070370A1 (en)2008-05-282011-03-24Aixtron AgThermal gradient enhanced chemical vapour deposition (tge-cvd)
JP2010016225A (ja)*2008-07-042010-01-21Tokyo Electron Ltd温度調節機構および温度調節機構を用いた半導体製造装置
JP5231117B2 (ja)*2008-07-242013-07-10株式会社ニューフレアテクノロジー成膜装置および成膜方法
JP5106331B2 (ja)2008-09-162012-12-26東京エレクトロン株式会社基板載置台の降温方法、コンピュータ読み取り可能な記憶媒体および基板処理システム
US10378106B2 (en)2008-11-142019-08-13Asm Ip Holding B.V.Method of forming insulation film by modified PEALD
US8931431B2 (en)*2009-03-252015-01-13The Regents Of The University Of MichiganNozzle geometry for organic vapor jet printing
US9394608B2 (en)2009-04-062016-07-19Asm America, Inc.Semiconductor processing reactor and components thereof
US8802201B2 (en)2009-08-142014-08-12Asm America, Inc.Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101108879B1 (ko)*2009-08-312012-01-30주식회사 원익아이피에스가스분사장치 및 이를 이용한 기판처리장치
US20110061812A1 (en)*2009-09-112011-03-17Applied Materials, Inc.Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en)*2009-09-112011-03-17Applied Materials, Inc.Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en)*2009-09-112011-03-17Applied Materials, Inc.Apparatus and Methods for Cyclical Oxidation and Etching
JP5323628B2 (ja)*2009-09-172013-10-23東京エレクトロン株式会社プラズマ処理装置
WO2011044451A2 (en)*2009-10-092011-04-14Applied Materials, Inc.Multi-gas centrally cooled showerhead design
JP2011168881A (ja)*2010-01-252011-09-01Hitachi Kokusai Electric Inc半導体装置の製造方法及び基板処理装置
JP5409413B2 (ja)*2010-01-262014-02-05日本パイオニクス株式会社Iii族窒化物半導体の気相成長装置
US9441295B2 (en)*2010-05-142016-09-13Solarcity CorporationMulti-channel gas-delivery system
JP5982758B2 (ja)2011-02-232016-08-31東京エレクトロン株式会社マイクロ波照射装置
US20120225203A1 (en)*2011-03-012012-09-06Applied Materials, Inc.Apparatus and Process for Atomic Layer Deposition
US9312155B2 (en)2011-06-062016-04-12Asm Japan K.K.High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en)2011-06-272019-07-30Asm Ip Holding B.V.Dual section module having shared and unshared mass flow controllers
US10854498B2 (en)2011-07-152020-12-01Asm Ip Holding B.V.Wafer-supporting device and method for producing same
US20130023129A1 (en)2011-07-202013-01-24Asm America, Inc.Pressure transmitter for a semiconductor processing environment
CN103014665B (zh)*2011-09-232015-02-18理想能源设备(上海)有限公司金属有机化合物化学气相沉积装置及其气体输送方法
CN103014669B (zh)*2011-09-232014-11-26理想能源设备(上海)有限公司化学气相沉积装置
CN103014666B (zh)*2011-09-232014-11-26理想能源设备(上海)有限公司化学气相沉积装置
CN103014668B (zh)*2011-09-232014-12-24理想能源设备(上海)有限公司化学气相沉积装置
CN103014667B (zh)*2011-09-232015-07-01理想能源设备(上海)有限公司化学气相沉积装置
US9017481B1 (en)2011-10-282015-04-28Asm America, Inc.Process feed management for semiconductor substrate processing
JP6038618B2 (ja)*2011-12-152016-12-07株式会社ニューフレアテクノロジー成膜装置および成膜方法
KR101327458B1 (ko)*2012-01-102013-11-08주식회사 유진테크냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
CN103388132B (zh)*2012-05-112015-11-25中微半导体设备(上海)有限公司气体喷淋头、其制造方法及薄膜生长反应器
US9659799B2 (en)2012-08-282017-05-23Asm Ip Holding B.V.Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en)2012-09-122015-05-05Asm Ip Holdings B.V.Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en)*2012-09-212015-09-15Applied Materials, Inc.Chemical control features in wafer process equipment
US10714315B2 (en)*2012-10-122020-07-14Asm Ip Holdings B.V.Semiconductor reaction chamber showerhead
US20160376700A1 (en)2013-02-012016-12-29Asm Ip Holding B.V.System for treatment of deposition reactor
WO2014123667A1 (en)*2013-02-062014-08-14Applied Materials, Inc.Gas injection apparatus and substrate process chamber incorporating same
US9484191B2 (en)2013-03-082016-11-01Asm Ip Holding B.V.Pulsed remote plasma method and system
US9589770B2 (en)2013-03-082017-03-07Asm Ip Holding B.V.Method and systems for in-situ formation of intermediate reactive species
JP2013110440A (ja)*2013-03-112013-06-06Tokyo Electron Ltd電極ユニット及び基板処理装置
TWI627305B (zh)*2013-03-152018-06-21應用材料股份有限公司用於轉盤處理室之具有剛性板的大氣蓋
JP2014192372A (ja)*2013-03-272014-10-06Tokyo Electron Ltdマイクロ波加熱処理装置
KR101467195B1 (ko)*2013-05-142014-12-01주식회사 아바코가스 분사기 및 이를 포함하는 박막 증착 장치
KR101526505B1 (ko)*2013-06-202015-06-09피에스케이 주식회사냉각 유닛 및 이를 이용한 냉각 방법, 기판 처리 장치 및 이를 이용한 기판 처리 방법
JP6180208B2 (ja)*2013-07-082017-08-16株式会社ニューフレアテクノロジー気相成長装置および気相成長方法
US9240412B2 (en)2013-09-272016-01-19Asm Ip Holding B.V.Semiconductor structure and device and methods of forming same using selective epitaxial process
KR20150055227A (ko)*2013-11-132015-05-21삼성전자주식회사샤워 헤드 및 이를 포함하는 기판 처리 장치
JP6135475B2 (ja)*2013-11-202017-05-31東京エレクトロン株式会社ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
JP5971870B2 (ja)2013-11-292016-08-17株式会社日立国際電気基板処理装置、半導体装置の製造方法及び記録媒体
JP5726281B1 (ja)*2013-12-272015-05-27株式会社日立国際電気基板処理装置及び半導体装置の製造方法
JP6123688B2 (ja)*2014-01-292017-05-10東京エレクトロン株式会社成膜装置
US10683571B2 (en)2014-02-252020-06-16Asm Ip Holding B.V.Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en)2014-03-182019-01-01Asm Ip Holding B.V.Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en)2014-03-192021-05-25Asm Ip Holding B.V.Gas-phase reactor and system having exhaust plenum and components thereof
FR3018825B1 (fr)*2014-03-212017-09-01Altatech SemiconductorProcede de depot en phase gazeuse
KR102451499B1 (ko)*2014-05-162022-10-06어플라이드 머티어리얼스, 인코포레이티드샤워헤드 설계
US9911579B2 (en)2014-07-032018-03-06Applied Materials, Inc.Showerhead having a detachable high resistivity gas distribution plate
US10858737B2 (en)2014-07-282020-12-08Asm Ip Holding B.V.Showerhead assembly and components thereof
US9890456B2 (en)2014-08-212018-02-13Asm Ip Holding B.V.Method and system for in situ formation of gas-phase compounds
US10407771B2 (en)*2014-10-062019-09-10Applied Materials, Inc.Atomic layer deposition chamber with thermal lid
US9657845B2 (en)2014-10-072017-05-23Asm Ip Holding B.V.Variable conductance gas distribution apparatus and method
US10941490B2 (en)2014-10-072021-03-09Asm Ip Holding B.V.Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko)2014-12-222021-06-09에이에스엠 아이피 홀딩 비.브이.반도체 소자 및 그 제조 방법
US10529542B2 (en)2015-03-112020-01-07Asm Ip Holdings B.V.Cross-flow reactor and method
US10276355B2 (en)2015-03-122019-04-30Asm Ip Holding B.V.Multi-zone reactor, system including the reactor, and method of using the same
JP6193284B2 (ja)*2015-03-182017-09-06株式会社東芝流路構造、吸排気部材、及び処理装置
CN104789943A (zh)*2015-04-012015-07-22沈阳拓荆科技有限公司控温型双气体通道均匀喷气喷淋板
US20160359080A1 (en)2015-06-072016-12-08Solarcity CorporationSystem, method and apparatus for chemical vapor deposition
US10458018B2 (en)2015-06-262019-10-29Asm Ip Holding B.V.Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en)2015-07-072020-03-24Asm Ip Holding B.V.Magnetic susceptor to baseplate seal
US10083836B2 (en)2015-07-242018-09-25Asm Ip Holding B.V.Formation of boron-doped titanium metal films with high work function
US10204790B2 (en)2015-07-282019-02-12Asm Ip Holding B.V.Methods for thin film deposition
US20170029948A1 (en)*2015-07-282017-02-02Asm Ip Holding B.V.Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en)2015-07-282022-08-23Asm Ip Holding B.V.Apparatuses for thin film deposition
US9960072B2 (en)2015-09-292018-05-01Asm Ip Holding B.V.Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en)2015-10-212019-02-19Asm Ip Holding B.V.NbMC layers
US10322384B2 (en)2015-11-092019-06-18Asm Ip Holding B.V.Counter flow mixer for process chamber
US11139308B2 (en)2015-12-292021-10-05Asm Ip Holding B.V.Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en)2016-02-192020-01-07Asm Ip Holding B.V.Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en)2016-02-192019-11-05Asm Ip Holding B.V.Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en)2016-03-092019-12-10Asm Ip Holding B.V.Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en)2016-03-182019-07-09Asm Ip Holding B.V.Aligned carbon nanotubes
US9892913B2 (en)2016-03-242018-02-13Asm Ip Holding B.V.Radial and thickness control via biased multi-port injection settings
US10865475B2 (en)2016-04-212020-12-15Asm Ip Holding B.V.Deposition of metal borides and silicides
US10190213B2 (en)2016-04-212019-01-29Asm Ip Holding B.V.Deposition of metal borides
US10032628B2 (en)2016-05-022018-07-24Asm Ip Holding B.V.Source/drain performance through conformal solid state doping
US10367080B2 (en)2016-05-022019-07-30Asm Ip Holding B.V.Method of forming a germanium oxynitride film
KR102592471B1 (ko)2016-05-172023-10-20에이에스엠 아이피 홀딩 비.브이.금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en)2016-05-242017-08-29Tesla, Inc.Systems, method and apparatus for curing conductive paste
US11453943B2 (en)2016-05-252022-09-27Asm Ip Holding B.V.Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3255173B1 (de)*2016-06-062018-11-21Meyer Burger (Germany) AGFluidtemperierter gasverteiler in schichtbauweise
JP6696322B2 (ja)*2016-06-242020-05-20東京エレクトロン株式会社ガス処理装置、ガス処理方法及び記憶媒体
US10388509B2 (en)2016-06-282019-08-20Asm Ip Holding B.V.Formation of epitaxial layers via dislocation filtering
US10612137B2 (en)2016-07-082020-04-07Asm Ip Holdings B.V.Organic reactants for atomic layer deposition
US9859151B1 (en)2016-07-082018-01-02Asm Ip Holding B.V.Selective film deposition method to form air gaps
US10714385B2 (en)2016-07-192020-07-14Asm Ip Holding B.V.Selective deposition of tungsten
KR102354490B1 (ko)2016-07-272022-01-21에이에스엠 아이피 홀딩 비.브이.기판 처리 방법
US9812320B1 (en)2016-07-282017-11-07Asm Ip Holding B.V.Method and apparatus for filling a gap
KR102532607B1 (ko)2016-07-282023-05-15에이에스엠 아이피 홀딩 비.브이.기판 가공 장치 및 그 동작 방법
US10395919B2 (en)2016-07-282019-08-27Asm Ip Holding B.V.Method and apparatus for filling a gap
US9887082B1 (en)2016-07-282018-02-06Asm Ip Holding B.V.Method and apparatus for filling a gap
US9954136B2 (en)2016-08-032018-04-24Tesla, Inc.Cassette optimized for an inline annealing system
US10410943B2 (en)2016-10-132019-09-10Asm Ip Holding B.V.Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en)2016-10-262020-05-05Asm Ip Holdings B.V.Methods for thermally calibrating reaction chambers
US11532757B2 (en)2016-10-272022-12-20Asm Ip Holding B.V.Deposition of charge trapping layers
US10115856B2 (en)2016-10-312018-10-30Tesla, Inc.System and method for curing conductive paste using induction heating
US10643904B2 (en)2016-11-012020-05-05Asm Ip Holdings B.V.Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en)2016-11-012019-10-08Asm Ip Holding B.V.Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en)2016-11-012020-07-14ASM IP Holdings, B.V.Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en)2016-11-012019-03-12Asm Ip Holding B.V.Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
WO2018083989A1 (ja)*2016-11-022018-05-11東京エレクトロン株式会社シャワーヘッド及び基板処理装置
US10134757B2 (en)2016-11-072018-11-20Asm Ip Holding B.V.Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko)2016-11-152023-06-21에이에스엠 아이피 홀딩 비.브이.기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en)2016-11-282019-07-02Asm Ip Holding B.V.Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102762543B1 (ko)2016-12-142025-02-05에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
US11581186B2 (en)2016-12-152023-02-14Asm Ip Holding B.V.Sequential infiltration synthesis apparatus
US11447861B2 (en)2016-12-152022-09-20Asm Ip Holding B.V.Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko)2016-12-192024-08-28에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
US10269558B2 (en)2016-12-222019-04-23Asm Ip Holding B.V.Method of forming a structure on a substrate
US10867788B2 (en)2016-12-282020-12-15Asm Ip Holding B.V.Method of forming a structure on a substrate
US11390950B2 (en)2017-01-102022-07-19Asm Ip Holding B.V.Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en)2017-02-092020-05-19Asm Ip Holding B.V.Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en)2017-02-152019-11-05Asm Ip Holding B.V.Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102096700B1 (ko)*2017-03-292020-04-02도쿄엘렉트론가부시키가이샤기판 처리 장치 및 기판 처리 방법
US10529563B2 (en)2017-03-292020-01-07Asm Ip Holdings B.V.Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en)2017-03-292019-05-07Asm Ip Holding B.V.Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko)2017-04-252022-10-21에이에스엠 아이피 홀딩 비.브이.박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en)2017-05-082019-10-15Asm Ip Holding B.V.Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en)2017-05-082021-01-12Asm Ip Holding B.V.Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en)2017-05-082020-09-08Asm Ip Holdings B.V.Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en)2017-05-312019-12-10Asm Ip Holding B.V.Method of atomic layer etching using hydrogen plasma
US10886123B2 (en)2017-06-022021-01-05Asm Ip Holding B.V.Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en)2017-06-202024-07-16Asm Ip Holding B.V.Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en)2017-06-282022-04-19Asm Ip Holding B.V.Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en)2017-07-052020-06-16Asm Ip Holdings B.V.Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko)2017-07-182019-01-28에이에스엠 아이피 홀딩 비.브이.반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en)2017-07-192021-05-25Asm Ip Holding B.V.Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en)2017-07-192022-06-28Asm Ip Holding B.V.Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en)2017-07-192020-01-21Asm Ip Holding B.V.Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en)2017-07-262020-03-31Asm Ip Holding B.V.Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en)2017-07-262019-06-04Asm Ip Holding B.V.Method of depositing film by PEALD using negative bias
US10590535B2 (en)2017-07-262020-03-17Asm Ip Holdings B.V.Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI815813B (zh)2017-08-042023-09-21荷蘭商Asm智慧財產控股公司用於分配反應腔內氣體的噴頭總成
US10692741B2 (en)2017-08-082020-06-23Asm Ip Holdings B.V.Radiation shield
US10770336B2 (en)2017-08-082020-09-08Asm Ip Holding B.V.Substrate lift mechanism and reactor including same
US10249524B2 (en)2017-08-092019-04-02Asm Ip Holding B.V.Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en)2017-08-092023-09-26Asm Ip Holding B.V.Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en)2017-08-092021-10-05Asm Ip Holding B.V.Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en)2017-08-222019-03-19ASM IP Holding B.V..Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en)2017-08-242020-10-27Asm Ip Holding B.V.Heater electrical connector and adapter
US11830730B2 (en)2017-08-292023-11-28Asm Ip Holding B.V.Layer forming method and apparatus
KR102491945B1 (ko)2017-08-302023-01-26에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
US11056344B2 (en)2017-08-302021-07-06Asm Ip Holding B.V.Layer forming method
US11295980B2 (en)2017-08-302022-04-05Asm Ip Holding B.V.Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko)2017-08-312022-05-24에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
US10607895B2 (en)2017-09-182020-03-31Asm Ip Holdings B.V.Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko)2017-09-212024-01-29에이에스엠 아이피 홀딩 비.브이.침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en)2017-09-222020-11-24Asm Ip Holding B.V.Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en)2017-09-282020-05-19Asm Ip Holdings B.V.Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en)2017-10-052019-09-03Asm Ip Holding B.V.Method for selectively depositing a metallic film on a substrate
US10319588B2 (en)2017-10-102019-06-11Asm Ip Holding B.V.Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en)2017-10-302021-02-16Asm Ip Holding B.V.Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko)2017-11-162022-09-14에이에스엠 아이피 홀딩 비.브이.기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en)2017-11-162021-02-02Asm Ip Holding B.V.Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en)2017-11-242021-06-01Asm Ip Holding B.V.Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en)2017-11-272019-05-31Asm Ip Holding B.V.A storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh)2017-11-272022-04-12阿斯莫Ip控股公司包括洁净迷你环境的装置
US10290508B1 (en)2017-12-052019-05-14Asm Ip Holding B.V.Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en)2018-01-162020-12-22Asm Ip Holding B. V.Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko)2018-01-192024-08-14에이에스엠 아이피 홀딩 비.브이.플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh)2018-01-192023-04-21荷蘭商Asm 智慧財產控股公司沈積方法
USD903477S1 (en)2018-01-242020-12-01Asm Ip Holdings B.V.Metal clamp
US11018047B2 (en)2018-01-252021-05-25Asm Ip Holding B.V.Hybrid lift pin
USD880437S1 (en)2018-02-012020-04-07Asm Ip Holding B.V.Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en)2018-02-012020-01-14Asm Ip Holdings B.V.Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en)2018-02-062021-08-03Asm Ip Holding B.V.Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en)2018-02-142019-08-22Asm Ip Holding B.V.A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en)2018-02-142021-01-19Asm Ip Holding B.V.Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en)2018-02-152020-08-04Asm Ip Holding B.V.Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en)2018-02-202020-05-19Asm Ip Holding B.V.Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko)2018-02-202024-02-13에이에스엠 아이피 홀딩 비.브이.기판 처리 방법 및 장치
US10975470B2 (en)2018-02-232021-04-13Asm Ip Holding B.V.Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en)2018-03-012022-10-18Asm Ip Holding B.V.Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en)2018-03-092023-04-18Asm Ip Holding B.V.Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en)2018-03-162021-09-07Asm Ip Holding B.V.Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko)2018-03-272024-03-11에이에스엠 아이피 홀딩 비.브이.기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en)2018-03-292019-12-17Asm Ip Holding B.V.Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en)2018-03-292021-08-10Asm Ip Holding B.V.Substrate rack and a substrate processing system and method
US11230766B2 (en)2018-03-292022-01-25Asm Ip Holding B.V.Substrate processing apparatus and method
KR102501472B1 (ko)2018-03-302023-02-20에이에스엠 아이피 홀딩 비.브이.기판 처리 방법
KR102600229B1 (ko)2018-04-092023-11-10에이에스엠 아이피 홀딩 비.브이.기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
JP7093667B2 (ja)*2018-04-112022-06-30東京エレクトロン株式会社成膜装置及び成膜方法
TWI811348B (zh)2018-05-082023-08-11荷蘭商Asm 智慧財產控股公司藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en)2018-05-082024-07-02Asm Ip Holding B.V.Thin film forming method
US12272527B2 (en)2018-05-092025-04-08Asm Ip Holding B.V.Apparatus for use with hydrogen radicals and method of using same
KR20190129718A (ko)2018-05-112019-11-20에이에스엠 아이피 홀딩 비.브이.기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko)2018-05-282023-10-31에이에스엠 아이피 홀딩 비.브이.기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en)2018-06-042023-08-08Asm Ip Holding B.V.Gas distribution system and reactor system including same
TWI840362B (zh)2018-06-042024-05-01荷蘭商Asm Ip私人控股有限公司水氣降低的晶圓處置腔室
US11286562B2 (en)2018-06-082022-03-29Asm Ip Holding B.V.Gas-phase chemical reactor and method of using same
US10797133B2 (en)2018-06-212020-10-06Asm Ip Holding B.V.Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko)2018-06-212023-08-21에이에스엠 아이피 홀딩 비.브이.기판 처리 시스템
KR102854019B1 (ko)2018-06-272025-09-02에이에스엠 아이피 홀딩 비.브이.금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
TWI873894B (zh)2018-06-272025-02-21荷蘭商Asm Ip私人控股有限公司用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en)2018-06-292020-04-07ASM IP Holding, B.V.Temperature-controlled flange and reactor system including same
KR102686758B1 (ko)2018-06-292024-07-18에이에스엠 아이피 홀딩 비.브이.박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en)2018-07-032019-08-20Asm Ip Holding B.V.Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en)2018-07-032020-08-25Asm Ip Holding B.V.Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en)2018-07-162020-09-08Asm Ip Holding B.V.Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en)2018-07-262019-11-19Asm Ip Holding B.V.Method for forming thermally stable organosilicon polymer film
US11053591B2 (en)2018-08-062021-07-06Asm Ip Holding B.V.Multi-port gas injection system and reactor system including same
JP6715894B2 (ja)*2018-08-072020-07-01株式会社Kokusai Electric半導体装置の製造方法、基板処理装置およびプログラム
US10883175B2 (en)2018-08-092021-01-05Asm Ip Holding B.V.Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en)2018-08-162020-11-10Asm Ip Holding B.V.Gas distribution device for a wafer processing apparatus
US11430674B2 (en)2018-08-222022-08-30Asm Ip Holding B.V.Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko)2018-09-112024-09-19에이에스엠 아이피 홀딩 비.브이.박막 증착 방법
US11024523B2 (en)2018-09-112021-06-01Asm Ip Holding B.V.Substrate processing apparatus and method
US11049751B2 (en)2018-09-142021-06-29Asm Ip Holding B.V.Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344B (zh)2018-10-012024-10-25Asmip控股有限公司衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en)2018-10-032022-01-25Asm Ip Holding B.V.Substrate processing apparatus and method
KR102592699B1 (ko)2018-10-082023-10-23에이에스엠 아이피 홀딩 비.브이.기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en)2018-10-112020-11-24Asm Ip Holding B.V.Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en)2018-10-162020-10-20Asm Ip Holding B.V.Method for etching a carbon-containing feature
KR102546322B1 (ko)2018-10-192023-06-21에이에스엠 아이피 홀딩 비.브이.기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko)2018-10-192023-11-23에이에스엠 아이피 홀딩 비.브이.기판 처리 장치 및 기판 처리 방법
USD948463S1 (en)2018-10-242022-04-12Asm Ip Holding B.V.Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en)2018-10-252019-08-13Asm Ip Holding B.V.Methods for forming a silicon nitride film
US12378665B2 (en)2018-10-262025-08-05Asm Ip Holding B.V.High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en)2018-10-312021-08-10Asm Ip Holding B.V.Substrate processing apparatus for processing substrates
KR102748291B1 (ko)2018-11-022024-12-31에이에스엠 아이피 홀딩 비.브이.기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en)2018-11-062023-02-07Asm Ip Holding B.V.Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en)2018-11-072021-06-08Asm Ip Holding B.V.Methods for depositing a boron doped silicon germanium film
US10847366B2 (en)2018-11-162020-11-24Asm Ip Holding B.V.Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en)2018-11-162020-10-27Asm Ip Holding B.V.Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en)2018-11-262020-02-11Asm Ip Holding B.V.Method of forming oxynitride film
US12040199B2 (en)2018-11-282024-07-16Asm Ip Holding B.V.Substrate processing apparatus for processing substrates
US11217444B2 (en)2018-11-302022-01-04Asm Ip Holding B.V.Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko)2018-12-042024-02-13에이에스엠 아이피 홀딩 비.브이.기판 처리 장치를 세정하는 방법
US11158513B2 (en)2018-12-132021-10-26Asm Ip Holding B.V.Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TWI874340B (zh)2018-12-142025-03-01荷蘭商Asm Ip私人控股有限公司形成裝置結構之方法、其所形成之結構及施行其之系統
JP7224175B2 (ja)*2018-12-262023-02-17東京エレクトロン株式会社成膜装置及び方法
TWI866480B (zh)2019-01-172024-12-11荷蘭商Asm Ip 私人控股有限公司藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102727227B1 (ko)2019-01-222024-11-07에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
CN111524788B (zh)2019-02-012023-11-24Asm Ip私人控股有限公司氧化硅的拓扑选择性膜形成的方法
TWI873122B (zh)2019-02-202025-02-21荷蘭商Asm Ip私人控股有限公司填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko)2019-02-202024-01-16에이에스엠 아이피 홀딩 비.브이.처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh)2019-02-202024-04-11荷蘭商Asm Ip私人控股有限公司用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh)2019-02-202024-06-21荷蘭商Asm Ip私人控股有限公司用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh)2019-02-222024-05-21荷蘭商Asm Ip私人控股有限公司基材處理設備及處理基材之方法
KR102858005B1 (ko)2019-03-082025-09-09에이에스엠 아이피 홀딩 비.브이.실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en)2019-03-082023-08-29Asm Ip Holding B.V.Structure including SiOCN layer and method of forming same
KR102782593B1 (ko)2019-03-082025-03-14에이에스엠 아이피 홀딩 비.브이.SiOC 층을 포함한 구조체 및 이의 형성 방법
CN113396240A (zh)*2019-03-112021-09-14应用材料公司用于基板处理腔室的盖组件设备及方法
JP2020167398A (ja)2019-03-282020-10-08エーエスエム・アイピー・ホールディング・ベー・フェードアオープナーおよびドアオープナーが提供される基材処理装置
KR102809999B1 (ko)2019-04-012025-05-19에이에스엠 아이피 홀딩 비.브이.반도체 소자를 제조하는 방법
KR20200123380A (ko)2019-04-192020-10-29에이에스엠 아이피 홀딩 비.브이.층 형성 방법 및 장치
KR20200125453A (ko)2019-04-242020-11-04에이에스엠 아이피 홀딩 비.브이.기상 반응기 시스템 및 이를 사용하는 방법
US11289326B2 (en)2019-05-072022-03-29Asm Ip Holding B.V.Method for reforming amorphous carbon polymer film
KR20200130121A (ko)2019-05-072020-11-18에이에스엠 아이피 홀딩 비.브이.딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko)2019-05-102020-11-19에이에스엠 아이피 홀딩 비.브이.표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7598201B2 (ja)2019-05-162024-12-11エーエスエム・アイピー・ホールディング・ベー・フェーウェハボートハンドリング装置、縦型バッチ炉および方法
JP7612342B2 (ja)2019-05-162025-01-14エーエスエム・アイピー・ホールディング・ベー・フェーウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en)2019-05-172022-04-05Asm Ip Holding B.V.Susceptor shaft
USD975665S1 (en)2019-05-172023-01-17Asm Ip Holding B.V.Susceptor shaft
USD935572S1 (en)2019-05-242021-11-09Asm Ip Holding B.V.Gas channel plate
USD922229S1 (en)2019-06-052021-06-15Asm Ip Holding B.V.Device for controlling a temperature of a gas supply unit
KR20200141002A (ko)2019-06-062020-12-17에이에스엠 아이피 홀딩 비.브이.배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200141931A (ko)2019-06-102020-12-21에이에스엠 아이피 홀딩 비.브이.석영 에피택셜 챔버를 세정하는 방법
KR20200143254A (ko)2019-06-112020-12-23에이에스엠 아이피 홀딩 비.브이.개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en)2019-06-142022-03-01Asm Ip Holding B.V.Shower plate
USD931978S1 (en)2019-06-272021-09-28Asm Ip Holding B.V.Showerhead vacuum transport
KR102268559B1 (ko)*2019-07-032021-06-22세메스 주식회사샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
KR20210005515A (ko)2019-07-032021-01-14에이에스엠 아이피 홀딩 비.브이.기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja)2019-07-092024-06-13エーエスエム・アイピー・ホールディング・ベー・フェー同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh)2019-07-102021-01-12Asm Ip私人控股有限公司基板支撑组件及包括其的基板处理装置
KR20210010307A (ko)2019-07-162021-01-27에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
KR102860110B1 (ko)2019-07-172025-09-16에이에스엠 아이피 홀딩 비.브이.실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko)2019-07-172021-01-28에이에스엠 아이피 홀딩 비.브이.라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en)2019-07-182023-05-09Asm Ip Holding B.V.Method of forming structures using a neutral beam
KR20210010817A (ko)2019-07-192021-01-28에이에스엠 아이피 홀딩 비.브이.토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh)2019-07-192024-04-21荷蘭商Asm Ip私人控股有限公司形成形貌受控的非晶碳聚合物膜之方法
TWI851767B (zh)2019-07-292024-08-11荷蘭商Asm Ip私人控股有限公司用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh)2019-07-302021-02-02Asm Ip私人控股有限公司基板处理设备
CN112309899A (zh)2019-07-302021-02-02Asm Ip私人控股有限公司基板处理设备
US12169361B2 (en)2019-07-302024-12-17Asm Ip Holding B.V.Substrate processing apparatus and method
US11587814B2 (en)2019-07-312023-02-21Asm Ip Holding B.V.Vertical batch furnace assembly
US11587815B2 (en)2019-07-312023-02-21Asm Ip Holding B.V.Vertical batch furnace assembly
US11227782B2 (en)2019-07-312022-01-18Asm Ip Holding B.V.Vertical batch furnace assembly
CN112323048B (zh)2019-08-052024-02-09Asm Ip私人控股有限公司用于化学源容器的液位传感器
CN112342526A (zh)2019-08-092021-02-09Asm Ip私人控股有限公司包括冷却装置的加热器组件及其使用方法
USD965044S1 (en)2019-08-192022-09-27Asm Ip Holding B.V.Susceptor shaft
USD965524S1 (en)2019-08-192022-10-04Asm Ip Holding B.V.Susceptor support
JP2021031769A (ja)2019-08-212021-03-01エーエスエム アイピー ホールディング ビー.ブイ.成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko)2019-08-222021-03-05에이에스엠 아이피 홀딩 비.브이.홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en)2019-08-222022-04-19Asm Ip Holding B.V.Exhaust duct
USD940837S1 (en)2019-08-222022-01-11Asm Ip Holding B.V.Electrode
USD930782S1 (en)2019-08-222021-09-14Asm Ip Holding B.V.Gas distributor
USD979506S1 (en)2019-08-222023-02-28Asm Ip Holding B.V.Insulator
US11286558B2 (en)2019-08-232022-03-29Asm Ip Holding B.V.Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko)2019-08-232021-03-05에이에스엠 아이피 홀딩 비.브이.비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR102806450B1 (ko)2019-09-042025-05-12에이에스엠 아이피 홀딩 비.브이.희생 캡핑 층을 이용한 선택적 증착 방법
KR102733104B1 (ko)2019-09-052024-11-22에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
US11562901B2 (en)2019-09-252023-01-24Asm Ip Holding B.V.Substrate processing method
CN112593212B (zh)2019-10-022023-12-22Asm Ip私人控股有限公司通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh)2019-10-082024-07-01荷蘭商Asm Ip私人控股有限公司基板處理裝置
KR20210042810A (ko)2019-10-082021-04-20에이에스엠 아이피 홀딩 비.브이.활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202128273A (zh)2019-10-082021-08-01荷蘭商Asm Ip私人控股有限公司氣體注入系統、及將材料沉積於反應室內之基板表面上的方法
TWI846966B (zh)2019-10-102024-07-01荷蘭商Asm Ip私人控股有限公司形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en)2019-10-142024-06-11Asm Ip Holding B.V.Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh)2019-10-162024-03-11荷蘭商Asm Ip私人控股有限公司氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en)2019-10-172023-04-25Asm Ip Holding B.V.Methods for selective deposition of doped semiconductor material
KR102845724B1 (ko)2019-10-212025-08-13에이에스엠 아이피 홀딩 비.브이.막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko)2019-10-252021-05-07에이에스엠 아이피 홀딩 비.브이.기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en)2019-10-292023-05-09Asm Ip Holding B.V.Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko)2019-11-052021-05-14에이에스엠 아이피 홀딩 비.브이.도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en)2019-11-152022-11-15Asm Ip Holding B.V.Method for providing a semiconductor device with silicon filled gaps
KR102861314B1 (ko)2019-11-202025-09-17에이에스엠 아이피 홀딩 비.브이.기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697B (zh)2019-11-262025-07-29Asmip私人控股有限公司基板处理设备
US11450529B2 (en)2019-11-262022-09-20Asm Ip Holding B.V.Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692B (zh)2019-11-292025-08-15Asmip私人控股有限公司基板处理设备
CN120432376A (zh)2019-11-292025-08-05Asm Ip私人控股有限公司基板处理设备
JP7527928B2 (ja)2019-12-022024-08-05エーエスエム・アイピー・ホールディング・ベー・フェー基板処理装置、基板処理方法
KR20210070898A (ko)*2019-12-042021-06-15에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
KR20210078405A (ko)2019-12-172021-06-28에이에스엠 아이피 홀딩 비.브이.바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko)2019-12-192021-06-30에이에스엠 아이피 홀딩 비.브이.기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7730637B2 (ja)2020-01-062025-08-28エーエスエム・アイピー・ホールディング・ベー・フェーガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP7636892B2 (ja)2020-01-062025-02-27エーエスエム・アイピー・ホールディング・ベー・フェーチャネル付きリフトピン
US11993847B2 (en)2020-01-082024-05-28Asm Ip Holding B.V.Injector
KR20210093163A (ko)2020-01-162021-07-27에이에스엠 아이피 홀딩 비.브이.고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko)2020-01-202024-06-17에이에스엠 아이피 홀딩 비.브이.박막 형성 방법 및 박막 표면 개질 방법
TWI889744B (zh)2020-01-292025-07-11荷蘭商Asm Ip私人控股有限公司污染物捕集系統、及擋板堆疊
TW202513845A (zh)2020-02-032025-04-01荷蘭商Asm Ip私人控股有限公司半導體裝置結構及其形成方法
KR20210100010A (ko)2020-02-042021-08-13에이에스엠 아이피 홀딩 비.브이.대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en)2020-02-072023-10-03Asm Ip Holding B.V.Methods for depositing gap filling fluids and related systems and devices
KR20210103956A (ko)2020-02-132021-08-24에이에스엠 아이피 홀딩 비.브이.수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법
TW202146691A (zh)2020-02-132021-12-16荷蘭商Asm Ip私人控股有限公司氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法
TWI855223B (zh)2020-02-172024-09-11荷蘭商Asm Ip私人控股有限公司用於生長磷摻雜矽層之方法
CN113410160A (zh)2020-02-282021-09-17Asm Ip私人控股有限公司专用于零件清洁的系统
KR20210113043A (ko)2020-03-042021-09-15에이에스엠 아이피 홀딩 비.브이.반응기 시스템용 정렬 고정구
US11876356B2 (en)2020-03-112024-01-16Asm Ip Holding B.V.Lockout tagout assembly and system and method of using same
KR20210116240A (ko)2020-03-112021-09-27에이에스엠 아이피 홀딩 비.브이.조절성 접합부를 갖는 기판 핸들링 장치
KR102775390B1 (ko)2020-03-122025-02-28에이에스엠 아이피 홀딩 비.브이.타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US12173404B2 (en)2020-03-172024-12-24Asm Ip Holding B.V.Method of depositing epitaxial material, structure formed using the method, and system for performing the method
KR102755229B1 (ko)2020-04-022025-01-14에이에스엠 아이피 홀딩 비.브이.박막 형성 방법
TWI887376B (zh)2020-04-032025-06-21荷蘭商Asm Ip私人控股有限公司半導體裝置的製造方法
TWI888525B (zh)2020-04-082025-07-01荷蘭商Asm Ip私人控股有限公司用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en)2020-04-152023-11-21Asm Ip Holding B.V.Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko)2020-04-152021-10-26에이에스엠 아이피 홀딩 비.브이.크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US20230131502A1 (en)*2020-04-162023-04-27Lam Research CorporationShowerhead thermal management using gas cooling
US11996289B2 (en)2020-04-162024-05-28Asm Ip Holding B.V.Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210130646A (ko)2020-04-212021-11-01에이에스엠 아이피 홀딩 비.브이.기판을 처리하기 위한 방법
KR20210132612A (ko)2020-04-242021-11-04에이에스엠 아이피 홀딩 비.브이.바나듐 화합물들을 안정화하기 위한 방법들 및 장치
KR102866804B1 (ko)2020-04-242025-09-30에이에스엠 아이피 홀딩 비.브이.냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202208671A (zh)2020-04-242022-03-01荷蘭商Asm Ip私人控股有限公司形成包括硼化釩及磷化釩層的結構之方法
KR20210132600A (ko)2020-04-242021-11-04에이에스엠 아이피 홀딩 비.브이.바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh)2020-04-242021-10-26Asm Ip私人控股有限公司形成含氮化钒的层的方法及包含其的结构
KR102783898B1 (ko)2020-04-292025-03-18에이에스엠 아이피 홀딩 비.브이.고체 소스 전구체 용기
KR20210134869A (ko)2020-05-012021-11-11에이에스엠 아이피 홀딩 비.브이.Foup 핸들러를 이용한 foup의 빠른 교환
JP7726664B2 (ja)2020-05-042025-08-20エーエスエム・アイピー・ホールディング・ベー・フェー基板を処理するための基板処理システム
KR102788543B1 (ko)2020-05-132025-03-27에이에스엠 아이피 홀딩 비.브이.반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh)2020-05-152021-12-16荷蘭商Asm Ip私人控股有限公司形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko)2020-05-192021-11-29에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
KR20210145079A (ko)2020-05-212021-12-01에이에스엠 아이피 홀딩 비.브이.기판을 처리하기 위한 플랜지 및 장치
KR102795476B1 (ko)2020-05-212025-04-11에이에스엠 아이피 홀딩 비.브이.다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TWI873343B (zh)2020-05-222025-02-21荷蘭商Asm Ip私人控股有限公司用於在基材上形成薄膜之反應系統
KR20210146802A (ko)2020-05-262021-12-06에이에스엠 아이피 홀딩 비.브이.붕소 및 갈륨을 함유한 실리콘 게르마늄 층을 증착하는 방법
TWI876048B (zh)2020-05-292025-03-11荷蘭商Asm Ip私人控股有限公司基板處理方法
TW202212620A (zh)2020-06-022022-04-01荷蘭商Asm Ip私人控股有限公司處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
KR20230022989A (ko)*2020-06-102023-02-16램 리써치 코포레이션분할 (split) 샤워헤드 냉각 플레이트
TW202208659A (zh)2020-06-162022-03-01荷蘭商Asm Ip私人控股有限公司沉積含硼之矽鍺層的方法
TW202218133A (zh)2020-06-242022-05-01荷蘭商Asm Ip私人控股有限公司形成含矽層之方法
TWI873359B (zh)2020-06-302025-02-21荷蘭商Asm Ip私人控股有限公司基板處理方法
US12431354B2 (en)2020-07-012025-09-30Asm Ip Holding B.V.Silicon nitride and silicon oxide deposition methods using fluorine inhibitor
TW202202649A (zh)2020-07-082022-01-16荷蘭商Asm Ip私人控股有限公司基板處理方法
KR20220010438A (ko)2020-07-172022-01-25에이에스엠 아이피 홀딩 비.브이.포토리소그래피에 사용하기 위한 구조체 및 방법
TWI878570B (zh)2020-07-202025-04-01荷蘭商Asm Ip私人控股有限公司用於沉積鉬層之方法及系統
KR20220011092A (ko)2020-07-202022-01-27에이에스엠 아이피 홀딩 비.브이.전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
US12322591B2 (en)2020-07-272025-06-03Asm Ip Holding B.V.Thin film deposition process
KR20220021863A (ko)2020-08-142022-02-22에이에스엠 아이피 홀딩 비.브이.기판 처리 방법
US12040177B2 (en)2020-08-182024-07-16Asm Ip Holding B.V.Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202228863A (zh)2020-08-252022-08-01荷蘭商Asm Ip私人控股有限公司清潔基板的方法、選擇性沉積的方法、及反應器系統
US11725280B2 (en)2020-08-262023-08-15Asm Ip Holding B.V.Method for forming metal silicon oxide and metal silicon oxynitride layers
TW202229601A (zh)2020-08-272022-08-01荷蘭商Asm Ip私人控股有限公司形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
TW202217045A (zh)2020-09-102022-05-01荷蘭商Asm Ip私人控股有限公司沉積間隙填充流體之方法及相關系統和裝置
USD990534S1 (en)2020-09-112023-06-27Asm Ip Holding B.V.Weighted lift pin
KR20220036866A (ko)2020-09-162022-03-23에이에스엠 아이피 홀딩 비.브이.실리콘 산화물 증착 방법
US20220093361A1 (en)*2020-09-222022-03-24Applied Materials, Inc.Showerhead assembly with recursive gas channels
USD1012873S1 (en)2020-09-242024-01-30Asm Ip Holding B.V.Electrode for semiconductor processing apparatus
WO2022066240A1 (en)*2020-09-252022-03-31Lam Research CorporationAxially cooled metal showerheads for high temperature processes
TWI889903B (zh)2020-09-252025-07-11荷蘭商Asm Ip私人控股有限公司基板處理方法
US12009224B2 (en)2020-09-292024-06-11Asm Ip Holding B.V.Apparatus and method for etching metal nitrides
KR20220045900A (ko)2020-10-062022-04-13에이에스엠 아이피 홀딩 비.브이.실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh)2020-10-072022-04-08Asm Ip私人控股有限公司气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh)2020-10-142022-08-01荷蘭商Asm Ip私人控股有限公司於階梯式結構上沉積材料的方法
TW202232565A (zh)2020-10-152022-08-16荷蘭商Asm Ip私人控股有限公司製造半導體裝置之方法及使用乙太網路控制自動化技術之基板處理裝置
TWI889919B (zh)2020-10-212025-07-11荷蘭商Asm Ip私人控股有限公司用於可流動間隙填充之方法及裝置
TW202217037A (zh)2020-10-222022-05-01荷蘭商Asm Ip私人控股有限公司沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh)2020-10-282022-06-16荷蘭商Asm Ip私人控股有限公司用於在基板上形成層之方法、及半導體處理系統
TW202229620A (zh)2020-11-122022-08-01特文特大學沉積系統、用於控制反應條件之方法、沉積方法
TW202229795A (zh)2020-11-232022-08-01荷蘭商Asm Ip私人控股有限公司具注入器之基板處理設備
TW202235649A (zh)2020-11-242022-09-16荷蘭商Asm Ip私人控股有限公司填充間隙之方法與相關之系統及裝置
TW202235675A (zh)2020-11-302022-09-16荷蘭商Asm Ip私人控股有限公司注入器、及基板處理設備
US12255053B2 (en)2020-12-102025-03-18Asm Ip Holding B.V.Methods and systems for depositing a layer
TW202233884A (zh)2020-12-142022-09-01荷蘭商Asm Ip私人控股有限公司形成臨限電壓控制用之結構的方法
US11946137B2 (en)2020-12-162024-04-02Asm Ip Holding B.V.Runout and wobble measurement fixtures
TW202232639A (zh)2020-12-182022-08-16荷蘭商Asm Ip私人控股有限公司具有可旋轉台的晶圓處理設備
TW202226899A (zh)2020-12-222022-07-01荷蘭商Asm Ip私人控股有限公司具匹配器的電漿處理裝置
TW202242184A (zh)2020-12-222022-11-01荷蘭商Asm Ip私人控股有限公司前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
TW202231903A (zh)2020-12-222022-08-16荷蘭商Asm Ip私人控股有限公司過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11705312B2 (en)2020-12-262023-07-18Applied Materials, Inc.Vertically adjustable plasma source
JP7114763B1 (ja)*2021-02-152022-08-08株式会社Kokusai Electric半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
CN120565403A (zh)*2021-03-172025-08-29芝浦机械电子装置株式会社加热处理装置及加热处理方法
CN113136568B (zh)*2021-04-072022-10-11拓荆科技股份有限公司一种节能型主动控温喷淋头
USD1023959S1 (en)2021-05-112024-04-23Asm Ip Holding B.V.Electrode for substrate processing apparatus
USD980813S1 (en)2021-05-112023-03-14Asm Ip Holding B.V.Gas flow control plate for substrate processing apparatus
USD981973S1 (en)2021-05-112023-03-28Asm Ip Holding B.V.Reactor wall for substrate processing apparatus
USD980814S1 (en)2021-05-112023-03-14Asm Ip Holding B.V.Gas distributor for substrate processing apparatus
CN117616538A (zh)*2021-07-082024-02-27应用材料公司具有递归气体通道的喷头组件
USD990441S1 (en)2021-09-072023-06-27Asm Ip Holding B.V.Gas flow control plate
WO2023054531A1 (ja)*2021-09-292023-04-06京セラ株式会社シャワープレート
EP4190938B1 (en)*2021-12-032024-03-06Semsysco GmbHDistribution body for distributing a process gas for treating a substrate by means of the process gas
USD1060598S1 (en)2021-12-032025-02-04Asm Ip Holding B.V.Split showerhead cover
KR102726133B1 (ko)*2021-12-162024-11-05주식회사 테스샤워헤드 어셈블리
KR102784248B1 (ko)*2022-07-012025-03-21한화모멘텀 주식회사기판 처리 장치
JP2024134695A (ja)2023-03-222024-10-04東京エレクトロン株式会社プラズマ処理装置

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US4590042A (en)*1984-12-241986-05-20Tegal CorporationPlasma reactor having slotted manifold
US4793975A (en)*1985-05-201988-12-27Tegal CorporationPlasma Reactor with removable insert
JP2747036B2 (ja)*1989-07-071998-05-06日本電信電話株式会社薄膜形成方法
DE4011933C2 (de)*1990-04-121996-11-21Balzers HochvakuumVerfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5647945A (en)*1993-08-251997-07-15Tokyo Electron LimitedVacuum processing apparatus
EP0738788B1 (en)*1995-04-202003-08-13Ebara CorporationThin-Film vapor deposition apparatus
KR100492258B1 (ko)*1996-10-112005-09-02가부시키가이샤 에바라 세이사꾸쇼반응가스분출헤드
US6537418B1 (en)*1997-09-192003-03-25Siemens AktiengesellschaftSpatially uniform gas supply and pump configuration for large wafer diameters
US6190732B1 (en)*1998-09-032001-02-20Cvc Products, Inc.Method and system for dispensing process gas for fabricating a device on a substrate
KR100596822B1 (ko)*1999-03-302006-07-03동경 엘렉트론 주식회사플라즈마 처리 장치, 그 보수 방법 및 그 시공 방법
WO2000060658A1 (fr)*1999-04-062000-10-12Tokyo Electron LimitedElectrode, etage de tranche, dispositif a plasma, et procede de fabrication d'une electrode et d'un etage de tranche
KR100302609B1 (ko)*1999-05-102001-09-13김영환온도가변 가스 분사 장치
US6759325B2 (en)*2000-05-152004-07-06Asm Microchemistry OySealing porous structures
KR100444149B1 (ko)*2000-07-222004-08-09주식회사 아이피에스Ald 박막증착설비용 클리닝방법
AU2001288225A1 (en)*2000-07-242002-02-05The University Of Maryland College ParkSpatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
TWI303084B (en)*2000-09-082008-11-11Tokyo Electron LtdShower head structure, film forming method, and gas processing apparauts
JP4260404B2 (ja)*2001-02-092009-04-30東京エレクトロン株式会社成膜装置
KR101004192B1 (ko)2001-02-092010-12-24도쿄엘렉트론가부시키가이샤성막 장치
JP4121269B2 (ja)*2001-11-272008-07-23日本エー・エス・エム株式会社セルフクリーニングを実行するプラズマcvd装置及び方法
JP3869778B2 (ja)*2002-09-112007-01-17エア・ウォーター株式会社成膜装置
JP2005019606A (ja)*2003-06-252005-01-20Anelva Corpプラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
EP1667217A1 (en)*2003-09-032006-06-07Tokyo Electron LimitedGas treatment device and heat readiting method
US7408225B2 (en)*2003-10-092008-08-05Asm Japan K.K.Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
CN100492600C (zh)2003-11-052009-05-27大见忠弘等离子体处理装置
US7273526B2 (en)*2004-04-152007-09-25Asm Japan K.K.Thin-film deposition apparatus
US7712434B2 (en)*2004-04-302010-05-11Lam Research CorporationApparatus including showerhead electrode and heater for plasma processing
JP4451221B2 (ja)2004-06-042010-04-14東京エレクトロン株式会社ガス処理装置および成膜装置
KR100587686B1 (ko)2004-07-152006-06-08삼성전자주식회사질화 티타늄막 형성방법 및 이를 이용한 커패시터 제조방법
KR100628888B1 (ko)*2004-12-272006-09-26삼성전자주식회사샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7608549B2 (en)*2005-03-152009-10-27Asm America, Inc.Method of forming non-conformal layers
US7718030B2 (en)*2005-09-232010-05-18Tokyo Electron LimitedMethod and system for controlling radical distribution
JP5044931B2 (ja)*2005-10-312012-10-10東京エレクトロン株式会社ガス供給装置及び基板処理装置

Also Published As

Publication numberPublication date
JP2008001923A (ja)2008-01-10
US8133323B2 (en)2012-03-13
KR20090017622A (ko)2009-02-18
KR101089977B1 (ko)2011-12-05
CN101365823A (zh)2009-02-11
US20090104351A1 (en)2009-04-23
TWI427679B (zh)2014-02-21
TW200814149A (en)2008-03-16
CN101365823B (zh)2012-07-18
WO2007148692A1 (ja)2007-12-27

Similar Documents

PublicationPublication DateTitle
JP5045000B2 (ja)成膜装置、ガス供給装置、成膜方法及び記憶媒体
JP4889683B2 (ja)成膜装置
JP6676537B2 (ja)基板載置台
US20200026314A1 (en)Heat treatment apparatus and heat treatment method
KR102076643B1 (ko)기판 처리 장치 및 반도체 장치의 제조 방법
WO2012099064A1 (ja)基板処理装置、基板支持具及び半導体装置の製造方法
JP4260404B2 (ja)成膜装置
US20100064972A1 (en)Cvd film forming apparatus
CN115841937B (zh)炉口部结构、基板处理装置以及半导体装置的制造方法
US11784070B2 (en)Heat treatment apparatus, heat treatment method, and film forming method
TW201724393A (zh)基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體
WO2011033918A1 (ja)成膜装置、成膜方法および記憶媒体
JP7407521B2 (ja)成膜方法及び成膜装置
JP2015142016A (ja)基板処理装置
KR101878268B1 (ko)박막증착장치 및 박막증착장치의 제어방법
JP2019021910A (ja)基板処理装置、基板保持具及び半導体装置の製造方法
WO2018150537A1 (ja)基板処理装置、半導体装置の製造方法およびプログラム
JP4782761B2 (ja)成膜装置
US20080078743A1 (en)Elevated temperature chemical oxide removal module and process
JP6561148B2 (ja)基板処理装置、継手部および半導体装置の製造方法
JP2006269646A (ja)基板処理装置
JP2007281010A (ja)基板ステージとそれを用いた基板処理装置及び方法
KR20060085358A (ko)막 형성 장치
JP2014055558A (ja)基板処理装置、半導体装置の製造方法及びプログラム
JP2013201333A (ja)基板処理装置、半導体装置の製造方法及び基板処理方法

Legal Events

DateCodeTitleDescription
A521Request for written amendment filed

Free format text:JAPANESE INTERMEDIATE CODE: A523

Effective date:20090515

A621Written request for application examination

Free format text:JAPANESE INTERMEDIATE CODE: A621

Effective date:20090515

TRDDDecision of grant or rejection written
A01Written decision to grant a patent or to grant a registration (utility model)

Free format text:JAPANESE INTERMEDIATE CODE: A01

Effective date:20120619

A01Written decision to grant a patent or to grant a registration (utility model)

Free format text:JAPANESE INTERMEDIATE CODE: A01

A61First payment of annual fees (during grant procedure)

Free format text:JAPANESE INTERMEDIATE CODE: A61

Effective date:20120702

FPAYRenewal fee payment (event date is renewal date of database)

Free format text:PAYMENT UNTIL: 20150727

Year of fee payment:3

R150Certificate of patent or registration of utility model

Ref document number:5045000

Country of ref document:JP

Free format text:JAPANESE INTERMEDIATE CODE: R150

Free format text:JAPANESE INTERMEDIATE CODE: R150

R250Receipt of annual fees

Free format text:JAPANESE INTERMEDIATE CODE: R250

R250Receipt of annual fees

Free format text:JAPANESE INTERMEDIATE CODE: R250

R250Receipt of annual fees

Free format text:JAPANESE INTERMEDIATE CODE: R250

R250Receipt of annual fees

Free format text:JAPANESE INTERMEDIATE CODE: R250

R250Receipt of annual fees

Free format text:JAPANESE INTERMEDIATE CODE: R250

R250Receipt of annual fees

Free format text:JAPANESE INTERMEDIATE CODE: R250

R250Receipt of annual fees

Free format text:JAPANESE INTERMEDIATE CODE: R250

R250Receipt of annual fees

Free format text:JAPANESE INTERMEDIATE CODE: R250

R250Receipt of annual fees

Free format text:JAPANESE INTERMEDIATE CODE: R250


[8]ページ先頭

©2009-2025 Movatter.jp