Gebiet der vorliegenden ErfindungField of the present invention
ImAllgemeinen betrifft die vorliegende Erfindung modernste integrierteSchaltungen mit komplexen Transistorelementen, die Gatestrukturenmit hoher Kapazitätmit einer metallenthaltenden Elektrode und einem Gatedielektrikummit großem ε mit erhöhter Permittivität im Vergleichzu konventionellen Gatedielektrika, etwa Siliziumdioxid und Siliziumnitrid aufweisen.in theIn general, the present invention relates to state-of-the-art integratedCircuits with complex transistor elements, the gate structureswith high capacitywith a metal-containing electrode and a gate dielectricwith large ε with increased permittivity in comparisonto conventional gate dielectrics, such as silicon dioxide and silicon nitride.
Beschreibung des Stands derTechnikDescription of the state of thetechnology
DieHerstellung moderner integrierter Schaltungen, etwa von CPU's, Speicherbauelementen, ASIC's (anwendungsspezifischeintegrierte Schaltungen) und dergleichen fordert das Ausbilden einer großen Anzahlan Schaltungselementen auf einer vorgegebenen Chipfläche gemäß einemspezifizierten Schaltungsaufbau, wobei Feldeffekttransistoren einewichtige Art an Schaltungselementen repräsentieren, die im Wesentlichendas Leistungsverhalten integrierter Schaltungen bestimmen. Im Allgemeinen werdenmehrere Prozesstechnologien aktuell eingesetzt, wobei für vieleArten komplexer Schaltungen mit Feldeffekttransistoren die MOS-Technologieaktuell eine der vielversprechendsten Vorgehensweisen auf Grundder gute Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeitund/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellungkomplexer integrierter Schaltungen unter Anwendung von beispielsweiseder MOS-Technologie werden Millionen Transistoren, beispielsweise n-Kanaltransistorenund/oder p-Kanaltransistoren, auf einem Substrat hergestellt, daseine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon,ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird,sogenannte pn-Übergänge, diedurch eine Grenzflächestark dotierter Gebiete, die als Drain- und Souregebiete bezeichnetwerden, mit einem leicht dotierten oder nicht dotierten Gebiet,etwa ein Kanalgebiet, gebildet sind, dass benachbart zu den starkdotierten Gebieten angeordnet ist. In einem Feldeffekttransistorist die Leitfähigkeitdes Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals,durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebietangeordnet und davon durch eine dünne isolierende Schicht getrenntist. Die Leitfähigkeitdes Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund desAnlegens einer geeigneten Steuerspannung an die Gateelektrode hängt vonder Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebeneAbmessung des Kanalgebiets in der Transistorbreitenrichtung – von demAbstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auchals Kanallängebezeichnet wird. Somit beeinflusst in Verbindung mit der Fähigkeit,rasch einen leitenden Kanal unter der isolierenden Schicht beimAnlegen der Steuerspannung an die Gateelektrode aufzubauen, dieLeitfähigkeitdes Kanalgebiets wesentlich das Leistungsverhalten von MOS-Transistoren.Da die Geschwindigkeit des Erzeugens des Kanals, die von der Leitfähigkeitder Gateelektrode abhängt,und der Kanalwiderstand wesentlich die Transistoreigenschaften beeinflussen,ist die Verringerung der Kanallänge – und damitverknüpftdie Verringerung des Kanalwiderstands und des Gatewiderstands – ein wichtigesEntwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierterSchaltungen zu erreichen.TheManufacture of advanced integrated circuits, such as CPUs, memory devices, ASICs (application specificintegrated circuits) and the like require the formation of a large numberto circuit elements on a given chip area according to aspecified circuitry, wherein field effect transistors arepresent an important type of circuit elements that are essentiallydetermine the performance of integrated circuits. In general will beseveral process technologies currently used, with manyTypes of complex circuits with field effect transistors the MOS technologycurrently one of the most promising approaches based onthe good properties in terms of working speedand / or power consumption and / or cost efficiency. During manufacturecomplex integrated circuits using, for exampleMOS technology becomes millions of transistors, for example, N-channel transistorsand / or p-channel transistors fabricated on a substratea crystalline semiconductor layer. A field effect transistor contains, regardless ofwhether an n-channel transistor or a p-channel transistor is considered,so-called pn junctions, thethrough an interfaceheavily doped areas, called drainage and soure areaswith a slightly spiked or undoped area,about a channel area, formed are that adjacent to the strongdoped areas is arranged. In a field effect transistoris the conductivityof the canal area, d. H. the forward current of the conductive channel,controlled by a gate electrode adjacent to the channel regionarranged and separated by a thin insulating layeris. The conductivityof the channel region in the construction of a conductive channel due to theApplying a suitable control voltage to the gate electrode depends onthe dopant concentration, the mobility of the charge carriers and - for a givenDimension of the channel region in the transistor width direction - of theDistance between the source area and the drain area, which alsoas channel lengthreferred to as. Thus, in conjunction with the ability torapidly a conductive channel under the insulating layer atApply the control voltage to the gate electrode, theconductivityof the channel region substantially the performance of MOS transistors.As the speed of generating the channel, the conductivitythe gate electrode depends,and the channel resistance significantly affect the transistor properties,is the reduction of the channel length - and thusconnectedthe reduction of the channel resistance and the gate resistance - an important oneDesign criterion to include an increase in working speedTo achieve circuits.
Gegenwärtig wirdder Großteilder integrierten Schaltungen auf der Grundlage von Silizium auf Grundder nahezu beschränktenVerfügbarkeit,den gut verstandenen Eigenschaften von Silizium zugehörigen Materialienund Prozessen und der Erfahrung, die für die letzten 50 Jahre gewonnenwurde, hergestellt. Daher bleibt Silizium mit hoher Wahrscheinlichkeitdas Material der Wahl in der vorhersehbaren Zukunft für Schaltungsgenerationen,die fürMassenprodukte vorgesehen sind. Ein Grund für die große Bedeutung des Siliziumsbei der Herstellung von Halbleiterbauelementen besteht in den gutenEigenschaften einer Silizium/Siliziumdioxidgrenzfläche, dieeine zuverlässigeelektrische Isolation unterschiedlicher Gebiete voneinander ermöglicht.Die Silizium/Siliziumdioxidgrenzfläche ist bei hohen Temperaturenstabil und ermöglichtsomit das Ausführen nachfolgenderHochtemperaturprozesse, wie sie beispielsweise für Ausheizprozesse zum Aktivierenvon Dotierstoffen und zum Ausheilen von Kristallschäden erforderlichsind, ohne die elektrischen Eigenschaften der Grenzfläche zu beeinträchtigen.At present becomesthe majoritybased on silicon based on silicon integrated circuitsthe almost limitedAvailability,the well-understood properties of silicon related materialsand processes and experience gained for the last 50 yearswas produced. Therefore, silicon remains with high probabilitythe material of choice in the foreseeable future for circuit generations,the forMass products are provided. One reason for the great importance of siliconin the manufacture of semiconductor devices is in the goodProperties of a silicon / silicon dioxide interface, thea reliable oneelectrical isolation of different areas allows each other.The silicon / silicon dioxide interface is at high temperaturesstable and possiblethus the subsequent executionHigh-temperature processes, such as those for heating processes for activatingof dopants and to heal crystal damage requiredare without affecting the electrical properties of the interface.
Auszuvor dargelegten Gründenwird Siliziumdioxid vorzugsweise als eine Gateisolationsschichtin Feldeffekttransistoren eingesetzt, die die Gateelektrode, diehäufigaus Polysilizium oder anderen Materialien aufgebaut ist, von demKanalgebiet trennt. Beim stetigen Verbessern des Leistungsverhaltensvon Feldeffekttransistoren wird die Länge des Kanalgebiets kontinuierlicheverringert, um die Schaltgeschwindigkeit und den Durchlassstromzu erhöhen.Da das Transistorverhalten durch die Spannung gesteuert ist, dieder Gateelektrode zum Invertieren der Oberfläche des Kanalgebiets zur Erzeugungeiner ausreichend hohen Ladungsträgerdichte zugeführt wird,um damit den gewünschtenDurchlassstrom bei einer vorgegebenen Versorgungsspannung zu erreichen,ist ein gewisses Maß ankapazitiver Kopplung erforderlich, die durch den Kondensator gebildetwird, der durch die Gateelektrode, das Kanalgebiet und das dazwischenangeordnete Siliziumdioxid gebildet ist. Es zeigt sich jedoch, dasseine Verringerung der Kanallängeeine erhöhtekapazitive Kopplung erfordert, um das sogenannte Kurzkanalverhaltenwährenddes Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten kannzu erhöhtenLeckströmenund zu einer ausgeprägtenAbhängigkeitder Schwellwertspannung von der Kanallänge führen. Aggressiv skalierte Transistorbauelementemit einer relativ geringen Versorgungsspannung und damit mit einergeringen Schwellwertspannung weisen eine exponentielle Zunahme desLeckstromes bei der erhöhtenkapazitiven Kopplung der Gateelektrode an das Kanalgebiet auf. Dadie Dicke der Siliziumdioxidschicht entsprechend verringert werdenmuss, um die erforderliche Kapazität zwischen dem Gate und demKanalgebiet zu erreichen. Beispielsweise erfordert eine Kanallänge vonungefähr0,08 μmein Gatedielektrikum aus Siliziumdioxid mit einer Dicke von ungefähr 1,2 nm.Obwohl im Allgemeinen die Verwendung von Hochgeschwindigkeitstransistorelementenmit einem extrem kurzen Kanal auf Hochgeschwindigkeitsanwendungenbeschränktist, wohingegen Transistorelemente mit einem längeren Kanal für wenigerkritische Anwendungen eingesetzt werden, etwa als Speichertransistorelemente,erreicht der relativ hohe Leckstrom, der durch das direkte Tunnelnvon Ladungsträgerneine sehr dünneSiliziumgateisolationsschicht hervorgerufen wird, Werte bei einerOxiddicke im Bereich von 1 bis 2 nm, die nicht mehr mit den Erfordernissenfür modernsteintegrierte Schaltungen verträglichsind.For reasons previously stated, silicon dioxide is preferably used as a gate insulating layer in field effect transistors which separate the gate electrode, which is often constructed of polysilicon or other materials, from the channel region. In steadily improving the performance of field effect transistors, the length of the channel region is continuously reduced to increase the switching speed and the forward current. Since the transistor behavior is controlled by the voltage supplied to the gate electrode for inverting the surface of the channel region to produce a sufficiently high carrier density to achieve the desired forward current at a given supply voltage, a degree of capacitive coupling is required the capacitor is formed, which is formed by the gate electrode, the channel region and the silicon dioxide arranged therebetween. It turns out, however, that reducing the channel length requires increased capacitive coupling in order to avoid the so-called short channel behavior during transistor operation the. The short channel behavior can lead to increased leakage currents and to a pronounced dependence of the threshold voltage on the channel length. Aggressively scaled transistor devices with a relatively low supply voltage, and thus a low threshold voltage, exhibit an exponential increase in leakage current with the increased capacitive coupling of the gate electrode to the channel region. As the thickness of the silicon dioxide layer must be correspondingly reduced in order to achieve the required capacitance between the gate and the channel region. For example, a channel length of about 0.08 μm requires a silicon dioxide gate dielectric having a thickness of about 1.2 nm. Although, in general, the use of high speed transistor elements with an extremely short channel is limited to high speed applications, whereas longer channel transistor elements are less critical For applications such as memory transistor devices, the relatively high leakage current caused by the direct tunneling of charge carriers to a very thin silicon gate insulation layer reaches values of 1 to 2 nm oxide thickness, which are no longer meeting the requirements of the most advanced integrated circuits are compatible.
Daherwurde das Ersetzen von Siliziumdioxid als Materialisolationsschichtenin Betracht gezogen, insbesondere Transistoren, die äußerst dünne Siliziumgateschichtenerfordern. Möglichealternative Dielektrika beinhalten solche, die eine deutlich höhere Permittivität besitzen,so dass eine physikalisch größere Dickeeiner entsprechend gebildeten Gateisolationsschicht dennoch für eine kapazitiveKopplung sorgt, die ansonsten mittels einer extrem dünnen Siliziumdioxidschichterreicht wird.Thereforehas been replacing silica as material insulation layersespecially transistors that have extremely thin silicon gate layersrequire. Possiblealternative dielectrics include those which have a significantly higher permittivity,so that a physically larger thicknessa correspondingly formed gate insulation layer nevertheless for a capacitiveCoupling ensures that otherwise by means of an extremely thin silicon dioxide layeris reached.
Eswurde daher vorgeschlagen, Siliziumdioxid durch Materialien mithoher Permittivitätzu ersetzen, etwa Tantaloxid (Ta2O5) mit einem ε von ungefähr 25, Strontiumtitanoxid (SrTiO3) mit einem ε von ungefähr 150, Hafniumoxid (HfO2), HfSiO2, Zirkonoxid(ZrO2), und dergleichen.It has therefore been proposed to replace silica with high permittivity materials such as tantalum oxide (Ta2 O5 ) with an ε of about 25, strontium titanium oxide (SrTiO3 ) with an ε of about 150, hafnium oxide (HfO2 ), HfSiO2 , Zirconia (ZrO2 ), and the like.
Beim Übergangzu komplexen Gatearchitekturen auf der Grundlage von Dielektrikamit großem ε kann dieTransistorleistung weiter erhöhtwerden, indem ein geeignetes leitendes Material für die Gateelektrodevorgesehen wird, um damit das üblicherweiseverwendete Polysiliziummaterial zu ersetzen, da Polysilizium eineLadungsträgerverarmungin der Näheder Grenzflächezu dem Gatedielektrikum aufweist, wodurch die effektive Kapazität zwischendem Kanalgebiet und der Gateelektrode verringert wird. Es wurdedaher ein Gatestapel vorgeschlagen, in welchem ein dielektrischesMaterial mit großem ε eine erhöhte Kapazität selbstbei einer nicht so kritischen Dicke im Vergleich zu einer Siliziumdioxidschichtbietet, währendLeckströmeauf einem akzeptablen Niveau gehalten werden. Andererseits werden metallenthaltendenicht-Polysiliziummaterialien, etwa Titannitrid und dergleichen,so hergestellt, dass diese direkt mit dem dielektrischen Materialmit großem ε in Verbindungsind, wodurch das Auftreten einer Verarmung im Wesentlichen vermiedenwird. Da typischerweise eine geringe Schwellwertspannung für den Transistorgewünschtist, die die Spannung repräsentiert,bei der sich ein leitender Kanal in dem Kanalgebiet ausbildet, umdamit einen Durchlassstrom zu erreichen, erfordert üblicherweisedie Steuerbarkeit des entsprechenden Kanals aufwendige lateraleDotierstoffprofile und Dotierstoffgradienten zumindest in der Nähe der pn-Übergänge. Daherwerden sogenannte Halo-Gebiete typischerweise durch Ionenimplantationhergestellt, um eine Dotierstoffsorte einzuführen, deren Leitfähigkeitsartder Leitfähigkeitsartdes verbleibenden Kanals und des Halbleitergebiets entspricht, umdamit den resultierenden Dotierstoffgradienten am pn-Übergangin Verbindung mit entsprechenden Erweiterungsgebieten und tiefen Drain-und Sourcegebieten zu „verstärken”. Auf diese Weisebestimmt die Schwellwertspannung des Transistors wesentlich dieSteuerbarkeit des Kanals, wobei eine ausgeprägte Variabilität der Steuerspannung beigeringeren Gatelängenbeobachtet werden kann Durch das Vorsehen eines geeigneten Halo-Implantationsgebietskann somit die Steuerbarkeit des Kanals verbessert werden, wodurchauch die Variabilität derSchwellwertspannung, die auch als Schwellwertvariabilität bezeichnetwird, verringert wird und wodurch auch Schwankungen des Transistorleistungsverhaltensbei einer Änderungder Gatelängereduziert werden. Da die Schwellwertspannung der Transistoren wesentlichdie Austrittsarbeit des Gatematerials festgelegt ist, das mit demGatedielektrikumsmaterial in Kontakt ist, muss eine geeignete Einstellungder effektiven Austrittsarbeit im Hinblick auf die Leitfähigkeitsartdes betrachteten Transistors sichergestellt sein. Beispielsweisewerden geeignete metallenthaltende Gateelektrodenmaterialien, etwaTitannitrid, Aluminiumoxid und dergleichen häufig eingesetzt, wobei dieent sprechenden Austrittsarbeit so eingestellt wird, dass sie für eine Artan Transistor geeignet ist, etwa von n-Kanaltransistoren, während p-Kanaltransistoreneine andere Austrittsarbeit erfordern und somit ein unterschiedlichbehandeltes Titannitridmaterial oder ein anderes metallenthaltendesMaterial erfordern, um damit die gewünschte Schwellwertspannungzu erreichen. In diesem Falle sind komplexe und aufwendige Fertigungsschemata erforderlich,um unterschiedliche Elektrodenmaterialien bereitzustellen, so dassden Erfordernissen unterschiedlicher Transistorarten Rechnung getragen wird.Aus diesem Grunde wurde auch vorgeschlagen, die Schwellwertspannungvon Transistorbauelementen geeignet einzustellen, indem ein speziellgestaltetes Halbleitermaterial an der Grenzfläche an dem Dielektrikumsmaterialmit großem ε und dem Kanalgebietdes Transistors vorgesehen wird, um damit in geeigneter Weise dieBandlückedes speziell gestalteten Halbleitermaterials auf die Austrittsarbeit desmetallenthaltenden Gateelektrodenmaterials „anzupassen”, um damitdie gewünschtegeringe Schwellwertspannung des betrachteten Transistors zu erhalten.In transitioning to complex gate architectures based on high-k dielectrics, transistor performance can be further increased by providing a suitable conductive material for the gate electrode to replace the commonly used polysilicon material, since polysilicon confers charge carrier depletion in the vicinity of the interface the gate dielectric, thereby reducing the effective capacitance between the channel region and the gate electrode. Therefore, a gate stack has been proposed in which a high-k dielectric material provides increased capacitance even at a not so critical thickness compared to a silicon dioxide layer while keeping leakage currents to an acceptable level. On the other hand, metal-containing non-polysilicon materials such as titanium nitride and the like are made to be directly in communication with the high-k dielectric material, thereby substantially preventing the occurrence of depletion. Since typically a low threshold voltage is desired for the transistor representing the voltage at which a conductive channel is formed in the channel region to achieve on-state current, usually the controllability of the corresponding channel requires expensive lateral dopant profiles and dopant gradients at least in the vicinity the pn junctions. Thus, so-called halo regions are typically fabricated by ion implantation to introduce a dopant species whose conductivity type corresponds to the conductivity type of the remaining channel and the semiconductor region, thereby "matching" the resulting dopant gradients at the pn junction in conjunction with corresponding extension regions and deep drain and source regions. " strengthen ". In this way, the threshold voltage of the transistor substantially determines the controllability of the channel, whereby a pronounced variability of the control voltage can be observed at shorter gate lengths. By providing a suitable halo implantation region, the controllability of the channel can thus be improved, whereby the variability of the threshold voltage, which is also referred to as threshold variability, and which also reduces variations in transistor performance with a change in gate length. Since the threshold voltage of the transistors is substantially fixed to the work function of the gate material which is in contact with the gate dielectric material, a suitable adjustment of the effective work function with respect to the conductivity type of the transistor under consideration must be ensured. For example, suitable metal-containing gate electrode materials, such as titanium nitride, alumina, and the like, are often used, with the resulting work function adjusted to suit one type of transistor, such as n-channel transistors, while p-channel transistors require a different work function, and thus require a differently treated titanium nitride material or other metal-containing material to achieve the desired threshold voltage. In this case, complex and expensive manufacturing schemes are required to provide different electrode materials to accommodate the needs of different types of transistors. For this reason, it has also been proposed to suitably adjust the threshold voltage of transistor devices by applying a specially designed semiconductor material at the interface to the high-k dielectric material and the Channel region of the transistor is provided so as to suitably "adapt" the bandgap of the specially designed semiconductor material to the work function of the metal-containing gate electrode material in order to obtain the desired low threshold voltage of the considered transistor.
DieEinstellung der Bandlückeund somit der Schwellwertspannung moderner Transistorelemente aufder Grundlage von Silizium/Germanium ist eine vielversprechendeVorgehensweise fürkomplexe Transistorelemente, die ein Metallgate aufweisen. Typischerweisewird das Silizium/Germanium-Material auf der Grundlage selektiverepitaktischer Aufwachstechniken gebildet, in denen Prozessparameterso gesteuert sind, dass eine merkliche Materialabscheidung nur aufkristalline Siliziumbereiche beschränkt ist, während eine merkliche Abscheidung aufdielektrischen Oberflächenbereichenunterdrückt wird.Währenddieses epitaktischen Aufwachsprozesses müssen Prozessparameterwerte,etwa die Durchflussrate der Vorstufengase, der Abscheidedruck, dieTemperatur und dergleichen in dieser Weise gesteuert werden, umein hohes Maß anGleichmäßigkeitder Materialeigenschaften der Silizium/Germanium-Legierung über diegesamte Substratoberflächehinweg zu erhalten. Beispielsweise muss ein sensibles Gleichgewichtzwischen der Schichtdicke, der Gitterfehlanpassung und der Silizium/Germanium-Legierungund dem Siliziummaterial, der Temperatur während des Prozesses und der Dichtedes Materials beibehalten werden, um eine im Wesentlichen defektfreieGermaniumlegierung zu erhalten. Im Hinblick auf das geeignete Einstellender Bandlückeder Silizium/Germanium-Legierung bezüglich der gewünschtenSchwellwertspannung repräsentierendie Germaniumkonzentration und die resultierende Schichtdicke kritischeParameter, die nicht unabhängigvon Prozessparametern eingestellt werden können, wobei gleichzeitig geringe Änderungender Konzentration und der Schichtdicke eine deutliche Schwellwertvariabilität über einzelneChipgebiete hinweg auch überdas gesamte Halbleitersubstrat hinweg nach sich ziehen können. Daherwird in komplexen Halbleiterfertigungsstätten lediglich eine beschränkte Anzahlan unterschiedlichen Parametereinstellungen typischerweise für die diversen Halbleiterprodukteangewendet, wenn eine Silizium/Germaniumlegierung beispielsweisezum Einstellen des Schwellwerts modernster Transistorelemente erforderlichist. Z. B. wird eine kleinere Germaniumkonzentration als gewünscht aufder Grundlage eines gut steuerbaren und zuverlässigen Fertigungsprozessesin einer modernen Halbleiterfertigungsstätte erreicht, wodurch die Flexibilität bei derEinstellung der gesamten Transistoreigenschaften deutlich beschränkt werden.TheSetting the band gapand thus the threshold voltage of modern transistor elementsThe basis of silicon / germanium is a promising oneProcedure forcomplex transistor elements having a metal gate. typically,the silicon / germanium material becomes more selective on the basisepitaxial growth techniques formed in which process parametersare controlled so that a noticeable material deposition only oncrystalline silicon areas is limited, while a noticeable deposition ondielectric surface areasis suppressed.WhileThis epitaxial growth process requires process parameter values,about the flow rate of the precursor gases, the deposition pressure, theTemperature and the like can be controlled in this waya high level ofuniformitythe material properties of the silicon / germanium alloy over theentire substrate surfaceto get away. For example, a sensitive balance must bebetween the layer thickness, the lattice mismatch and the silicon / germanium alloyand the silicon material, the temperature during the process and the densityof the material to be substantially defect-freeTo obtain germanium alloy. With regard to the appropriate settingthe band gapthe silicon / germanium alloy with respect to the desiredRepresent threshold voltagethe germanium concentration and the resulting layer thickness criticalParameters that are not independentcan be adjusted by process parameters, with small changes at the same timethe concentration and the layer thickness a significant threshold variability over individualChip areas also overcan drag the entire semiconductor substrate away. Thereforeis only a limited number in complex semiconductor manufacturing plantsat different parameter settings typically for the various semiconductor productsapplied when a silicon / germanium alloy, for examplerequired to set the threshold of most modern transistor elementsis. For example, a smaller germanium concentration is desiredthe foundation of a well controllable and reliable manufacturing processachieved in a modern semiconductor manufacturing facility, thereby providing flexibility in theSetting the overall transistor properties can be significantly limited.
Esist gut bekannt, dass die Schaltgeschwindigkeit und der Durchlassstromvon siliziumbasierten Feldeffekttransistoren erhöht werden können, indem die Gitterstrukturin dem Kanalgebiet der Transistoren verändert werden. D. h., durchErzeugen einer kompressiven Verformung und Zugverformung in demKanalgebiet des Transistors kann die Ladungsträgerbeweglichkeit erhöht werden,wodurch der gewünschteAnstieg im Transistorleistungsverhalten erreicht wird. Beispielsweiseführt einekompressive Verformungskomponente, die entlang der Stromflussrichtungeines p-Kanaltransistors bei einer standardmäßigen Kristallkonfigurationdes Siliziums in dem Kanalgebiet hervorgerufen wird, d. h. einer(100) Oberflächenorientierungund mit der Stromflussrichtung entlang einer <110> Kristallachseausgerichtet, zu einer ausgeprägtenZunahme der Löcherbeweglichkeit.Daher wird häufigeine Silizium/Germanium-Legierung in die Drain- und Sourcebereichevon p-Kanaltransistoreneingebaut, was somit in einem stark verspannten Zustand auf Grundder Gitterfehlanpassung zwischen der Silizium/Germanium-Legierungund dem umgebenden Siliziummaterial hergestellt wird, wodurch ebenfallseine entsprechende kompressive Verformungskomponente in dem benachbartenKanalgebiet des Transistors hervorgerufen wird. Die Silizium/Germanium-Legierung kannin den Drain- und Sourcebereichen hergestellt werden, indem zuerstgeeignete Aussparungen geschaffen werden und die Aussparungen aufder Grundlage eines selektiven epitaktischen Wachstumsprozesseswieder gefülltwerden, wobei die Größe der resultierendenVerformungskomponente auf der Grundlage eines lateralen Abstandsder Aussparungen zu dem Kanalgebiet und der Germaniumkonzentration,die die Größe der Gitterfehlanpassungbestimmt, eingestellt werden kann. Auch in diesem Falle sind geeigneteAbscheiderezepte typischerweise in modernen Halbleiterfertigungsstätten verfügbar, so dassein im Wesentlichen defektfreies Abscheiden der Silizium/Germanium-Legierungmit einer maximalen Germaiumkonzentration möglich ist, die mit den zuvorgenannten Rahmenbedingungen im Hinblick auf die Parametereinstellungverträglichist.Itis well known that the switching speed and the forward currentof silicon-based field effect transistors can be increased by the lattice structurebe changed in the channel region of the transistors. That is, throughProducing a compressive deformation and tensile deformation in theChannel region of the transistor, the charge carrier mobility can be increased,whereby the desiredIncrease in transistor performance is achieved. For exampleleads oneCompressive deformation component, along the direction of current flowa p-channel transistor in a standard crystal configurationof silicon in the channel region, d. H. one(100) surface orientationand with the current flow direction along a <110> crystal axisaligned, to a pronouncedIncrease in hole mobility.Therefore, it becomes commona silicon / germanium alloy in the drain and source regionsof p-channel transistorsbuilt-in, which thus in a strongly strained condition due tothe lattice mismatch between the silicon / germanium alloyand the surrounding silicon material, which also producesa corresponding compressive deformation component in the adjacent oneChannel region of the transistor is caused. The silicon / germanium alloy canin the drain and source regions by firstappropriate recesses are created and the recesses onthe basis of a selective epitaxial growth processfilled againbe, the size of the resultingDeformation component based on a lateral distancethe recesses to the channel region and the germanium concentration,the size of the lattice mismatchdetermined, can be adjusted. Also in this case are suitableSeparator recipes typically available in modern semiconductor manufacturing facilities such thata substantially defect-free deposition of the silicon / germanium alloywith a maximum germaium concentration possible with those previouslymentioned conditions with regard to the parameter settingcompatibleis.
Obwohldamit etablierte Prozessrezepte zur Herstellung einer Silizium/Germanium-Legierung in einersteuerbaren Weise verfügbarsind, bieten die verfügbarenParametereinstellungen nicht ausreichend Flexibilität bei derEinstellung der gesamten Transistoreigenschaften, etwa im Hinblickauf die Schwellwertspannungen und dergleichen.Even thoughThus established process recipes for the production of a silicon / germanium alloy in onecontrollable way availableare the ones availableParameter settings insufficient flexibility in theAdjustment of the overall transistor properties, for example with regard toon the threshold voltages and the like.
ImHinblick auf die zuvor beschriebene Situation betrifft die vorliegendeOffenbarung Techniken zur Herstellung einer siliziumenthaltendenHalbleiterlegierung auf der Grundlage erhöhter Flexibilität, wobeieines oder mehrere der zuvor genannten Probleme vermieden oder zumindestin der Auswirkung reduziert wird.in theWith regard to the situation described above, the present concernsDisclosure Techniques for Making a Silicon-ContainingSemiconductor alloy based on increased flexibility, whereinone or more of the aforementioned problems avoided or at leastis reduced in impact.
Überblick über die vorliegende OffenbarungOverview of the present disclosure
ImAllgemeinen betrifft die vorliegende Offenbarung Techniken zum Erweiternder Fähigkeiten dergegenwärtigverfügbarergut etablierter Prozessrezepte zur Herstellung einer siliziumenthaltenden Halbleiterlegierung,etwa einer Silizium/Germanium-Legierung, beispielsweise in Bezugauf das Erhöhender Konzentration einer nicht-Siliziumsorte, etwa einer Germaniumsorte,ohne dass eine erhöhteProzessungleichmäßigkeitauftritt und ohne dass im Wesentlichen zusätzliche Kristalldefekte erzeugtwerden. Zu diesem Zweck wir die siliziumenthaltende Halbleiterlegierungauf der Grundlage eines gut etablierten Prozessrezepts hergestelltund nachfolgend wird die Konzentration der nicht-Siliziumsorte eingestellt,indem beispielsweise deren Konzentration durch einen Oxidationsprozesserhöhtwird, indem vorzugsweise die Siliziumsorte verbraucht wird, um einentsprechendes Oxid zu bilden, währenddie nicht-Siliziumsorte in den verbleibenden nicht-oxidierten Bereichder zuvor hergestellten Halbleiterlegierung „getrieben wird”. Danachkann der oxidierte Bereich selektiv entfernt werden und somit wirdeine entsprechende siliziumenthaltende Halbleiterlegierung mit einermodifizierten Konzentration erhalten, ohne dass im Wesentlichenzu zusätzlichenKristalldefekten beigetragen wird. Der Oxidationsprozess sowie dasselektive Entfernen könnenmit einem hohen Grad an Prozessgleichmäßigkeit ausgeführt werden,wodurch im Wesentlichen nicht zur gesamten Prozessungleichmäßigkeitbeigetragen wird, die im Wesentlichen durch den anfänglich ausgeführten epitaktischenAufwachsprozess festgelegt ist. Bei Bedarf kann eine Sequenz derAbscheidung einer Legierung, der Oxidierung der Legie rung und des Entfernensder oxidierten Bereichs ein oder mehrere Male wiederholt werden,um damit eine gewünschte Gesamtdickeder siliziumenthaltenden Halbleiterlegierung mit der modifiziertenKonzentration der nicht-Siliziumsorte zu erhalten. In anderen Fällen werdenzumindest der Prozess des Oxidierens eines Teils einer Halbleiterlegierungund das selektive Entfernen des oxidierten Bereichs wiederholt,um die Konzentration nicht-Siliziumsortezu erhöhen,wobei eine anfänglicheSchichtdicke in geeigneter Weise so gewählt ist, dass eine gewünschte endgültige Dicke nachdem Entfernen zweier oder mehrerer oxidierter Bereiche erreichtwird. In einigen anschaulichen Ausführungsformen wird die Anpassungder Konzentration einer nicht-Siliziumsorte auf die Herstellungeines schwellwerteinstellenden Materials angewendet, um damit einegewünschteBandlückenschiebungbei der Herstellung modernster Gateelektrodenstrukturen auf derGrundlage dielektrischer Materialien mit großem ε und metallenthaltender Gateelektrodenmaterialienzu erreichen. In noch anderen anschaulichen hierin offenbarten Aspektenwird die erhöhte Konzentrationeiner nicht-Siliziumsorte angewendet, um eine verformungsinduzierendeHalbleiterlegierung in den Drain- und Sourcebereichen von Transistorelementenmit einem erhöhteninneren Verformungspegel zu schaffen, ohne dass zu einem größeren Anteilan Gitterdefekten, Dislokationen, beigetragen wird, die häufig auftreten,wenn der Anteil einer nicht-Siliziumsorte erhöht wird. In noch anderen anschaulichenhierin offenbarten Ausführungsformen wirddas Konzept der Einstellung der Materialzusammensetzung einer siliziumenthaltendenHalbleiterlegierung sowohl auf die Schwellwerteinstellung als auchauf die Verformungstechnologie in einem Transistorelement angewendet,wodurch füreine erhöhte Flexibilität beim individuellenEinstellen der Transistoreigenschaften der diversen Halbleiterlegierungen aufder Grundlage eines einzelnen gut etablierten Prozessrezepts geschaffenwird.in theGenerally, the present disclosure relates to techniques for extendingthe abilities ofcurrentlyavailablewell-established process recipes for producing a silicon-containing semiconductor alloy,for example, a silicon / germanium alloy, for example in relationon the heighteningthe concentration of a non-silicon variety, such as a germanium variety,without an increasedProzessungleichmäßigkeitoccurs and without essentially generating additional crystal defectsbecome. For this purpose we use the silicon-containing semiconductor alloybased on a well-established process recipeand subsequently the concentration of the non-silicon grade is adjusted,by, for example, their concentration through an oxidation processelevatedis consumed by preferably consuming the silicon type toto form corresponding oxide whilethe non-silicon grade into the remaining unoxidized areathe previously produced semiconductor alloy is "driven". After thatFor example, the oxidized region can be selectively removed and thus becomesa corresponding silicon-containing semiconductor alloy with aobtained modified concentration without essentiallyto additionalCrystal defects is contributed. The oxidation process as well as thecan selectively removebe executed with a high degree of process uniformity,which, in essence, does not lead to overall process nonuniformitycontributed essentially by the initial epitaxialGrowth process is set. If necessary, a sequence ofDeposition of an alloy, oxidation of the alloy and removalthe oxidized area are repeated one or more times,order a desired total thicknessthe silicon-containing semiconductor alloy with the modifiedConcentration of the non-silicon type to obtain. In other cases will beat least the process of oxidizing a portion of a semiconductor alloyand repeating the selective removal of the oxidized region,to concentrate non-silicon gradeto increase,being an initial oneLayer thickness is suitably chosen so that a desired final thickness afterthe removal of two or more oxidized areasbecomes. In some illustrative embodiments, the adaptation will bethe concentration of a non-silicon variety on the productiona threshold value adjusting material used to provide adesiredBandgap shiftin the manufacture of state of the art gate electrode structures on theBased on dielectric materials with large ε and metal-containing gate electrode materialsto reach. In still other illustrative aspects disclosed hereinwill the increased concentrationa non-silicon grade applied to a deformation-inducingSemiconductor alloy in the drain and source regions of transistor elementswith an elevatedto create inner deformation levels without causing a greater proportionis contributed to lattice defects, dislocations, which often occurwhen the proportion of a non-silicon variety is increased. In still other illustrativeEmbodiments disclosed hereinthe concept of adjusting the material composition of a silicon-containingSemiconductor alloy both on the threshold setting as wellapplied to the deformation technology in a transistor element,which foran increased flexibility in the individualAdjusting the transistor properties of the various semiconductor alloysbased on a single well-established process recipebecomes.
Einanschauliches hierin offenbartes Verfahren umfasst das Bilden einerersten Schicht einer siliziumenthaltenden Halbleiterlegierung aufeinem siliziumenthaltenden kristallinen Halbleitergebiet, wobei diesiliziumenthaltende Halbleiterlegierung eine nicht-Siliziumsortemit einen ersten Konzentration aufweist. Das Verfahren umfasst fernerdas Bilden eines Oxids durch Verbrauchen von Material der ersten Schichteiner siliziumenthaltenden Halbleiterlegierung, wobei eine zweiteSchicht einer siliziumenthaltenden Halbleiterlegierung auf dem siliziumenthaltendenHalbleitergebiet beibehalten wird, wobei die zweite Schicht einesiliziumenthaltende Halbleiterlegierung die nicht-Siliziumsortemit einer zweiten Konzentration aufweist. Das Oxid wird von derzweiten Schicht einer siliziumenthaltenden Halbleiterlegierung entfernt.Schließlichumfasst das Verfahren das Bilden einer Gateelektrodenstruk tur einesTransistors überder zweiten Schicht einer siliziumenthaltenden Halbleiterlegierung,wobei die Gateelektrodenstruktur eine Gateisolationsschicht miteinem Dielektrikum mit großem ε und einmetallenthaltendes Gateelektrodenmaterial, das auf der Gateisolationsschichtmit einem Dielektrikum mit großem ε gebildet ist,aufweist.Oneillustrative method disclosed herein comprises forming afirst layer of a silicon-containing semiconductor alloya silicon-containing crystalline semiconductor region, wherein thesilicon-containing semiconductor alloy is a non-silicon gradehaving a first concentration. The method further comprisesforming an oxide by consuming material of the first layera silicon-containing semiconductor alloy, wherein a secondLayer of a silicon-containing semiconductor alloy on the silicon-containingSemiconductor region is maintained, wherein the second layer is asilicon-containing semiconductor alloy the non-silicon gradehaving a second concentration. The oxide is from theremoved second layer of a silicon-containing semiconductor alloy.After allFor example, the method includes forming a gate electrode structureTransistor overthe second layer of a silicon-containing semiconductor alloy,wherein the gate electrode structure comprises a gate insulation layera dielectric with high ε and ametal-containing gate electrode material deposited on the gate insulation layerformed with a dielectric of high ε,having.
Einweiteres anschauliches hierin offenbartes Verfahren umfasst dasBilden einer ersten Schicht einer Silizium/Germanium-Legierung aufeinem siliziumenthaltenden Halbleitergebiet, wobei die erste Schichteine Germaniumsorte mit einer ersten Konzentration aufweist. DasVerfahren umfasst ferner das Oxidieren eines Teils der ersten Schichteiner Silizium/Germanium-Legierung, um eine zweite Schicht einerSilizium/Germanium-Legierung zu bilden, wobei die zweite Schichteine Germaniumsorte mit einer zweiten Konzentration aufweist, diehöher istals die erste Konzentration. Ferner wird der Bereich selektiv zuder zweiten Schicht Silizium/Germanium-Legierung entfernt und eswird ein Transistorelement in und auf dem siliziumenthaltenden Halbleitergebietauf der Grundlage der zweiten Schicht einer Silizium/Germanium-Legierunggebildet.Oneanother illustrative method disclosed herein comprisesForming a first layer of a silicon / germanium alloya silicon-containing semiconductor region, wherein the first layera germanium variety having a first concentration. TheThe method further comprises oxidizing a portion of the first layera silicon / germanium alloy to form a second layer of aSilicon / germanium alloy to form, the second layera germanium variety having a second concentration, theis higheras the first concentration. Furthermore, the range becomes selectivethe second layer silicon / germanium alloy removed and itbecomes a transistor element in and on the silicon-containing semiconductor regionbased on the second layer of a silicon / germanium alloyeducated.
Einnoch weiteres anschauliches hierin offenbartes Verfahren umfasstdas Bilden einer Aussparung in einem siliziumenthaltenden Halbleitergebietlateral benachbart zu einer Gateelektrodenstruktur eines Transistors.Das Verfahren umfasst ferner das Bilden einer ersten Schicht einersiliziumenthaltenden Halbleiterlegierung in der Aussparung, wobei dieerste Schicht eine nicht-Siliziumsorte mit einer ersten Konzentrationaufweist. Das Verfahren umfasst ferner das Oxidieren eines erstenBereichs der ersten Schicht einer siliziumenthaltenden Halbleiterlegierung,um eine zweite Schicht der siliziumenthaltenden Halbleiterlegierungzu bilden, wobei die zweite Schicht die nicht-Siliziumsorte miteiner zweiten Konzentration aufweist, die höher ist als die erste Konzentration.Ferner umfasst das Verfahren das Bilden von Drain- und Sourcegebietenin dem siliziumenthaltenden Halbleitergebiet.Oneyet another illustrative method disclosed hereinforming a recess in a silicon-containing semiconductor regionlaterally adjacent to a gate electrode structure of a transistor.The method further comprises forming a first layer of asilicon-containing semiconductor alloy in the recess, wherein thefirst layer a non-silicon grade with a first concentrationhaving. The method further comprises oxidizing a first oneArea of the first layer of a silicon-containing semiconductor alloy,around a second layer of the silicon-containing semiconductor alloywith the second layer containing the non-silicon varietyhas a second concentration which is higher than the first concentration.Furthermore, the method includes forming drain and source regionsin the silicon-containing semiconductor region.
Kurze Beschreibung der ZeichnungenBrief description of the drawings
WeitereAusführungsformender vorliegenden Offenbarung sind in den angefügten Patentansprüchen definiertund gehen deutlicher aus der folgenden detaillierten Beschreibunghervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiertwird, in denen:FurtherembodimentsThe present disclosure is defined in the appended claimsand go more clearly from the following detailed descriptionwhen studying with reference to the accompanying drawingsbecomes, in which:
Detaillierte BeschreibungDetailed description
Obwohldie vorliegende Offenbarung mit Bezug zu den Ausführungsformenbeschrieben ist, wie sie in der folgenden detaillierten Beschreibungsowie in den Zeichnungen beschrieben dargestellt sind, sollte beachtetwerden, dass die folgende detaillierte Beschreibung sowie die Zeichnungennicht beabsichtigen, den hierin offenbarten Gegenstand auf die speziellenanschaulichen offenbarten Ausführungsformeneinzuschränken,sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaftdie diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereichdurch die angefügtenPatentansprüchedefiniert ist.Even thoughthe present disclosure with reference to the embodimentsas described in the following detailed descriptionas shown in the drawings should be notedbe that the following detailed description as well as the drawingsnot intended to cover the subject matter disclosed herein to the specific onesillustratively disclosed embodimentsrestrictbut the described illustrative embodiments are exemplary onlythe various aspects of the present disclosure, its scopethrough the attachedclaimsis defined.
ImAllgemeinen stellt die vorliegende Offenbarung Techniken zur Herstellungeiner siliziumenthaltenden Halbleiterlegierung auf der Grundlageeiner erhöhtenFlexibilitätbereit, indem die Möglichkeitenbestehender gut etablierter Rezepte erweitert werden dahingehend,dass die Konzentration einer nicht-Siliziumsorte eingestellt wird,indem ein gut steuerbarer Oxidationsprozess und ein selektives Entfernendes oxidierten Bereichs ausgeführtwerden, wodurch die Materialzusammensetzung des verbleibenden Teilsder zuvor abgeschiedenen Halbleiterlegierung effizient modifiziertwird. In einigen anschaulichen Ausführungsformen wird eine Silizium/Germanium-Legierungim Hinblick auf die Germaniumkonzentration angepasst, ohne dassein wesentlicher Beitrag zur gesamten Defektrate hervorgerufen wird,indem ein Bereich der Silizium/Germanium-Legierung oxidiert wird,beispielsweise durch Einrichten einer geeigneten Oxidationsumgebung, beieiner Trockenoxidation bei erhöhtenTemperaturen bei ungefähr900 Grad C und darüber,während welcherdie Siliziumsorte vorzugsweise mit dem Sauerstoff reagiert, während dieGermaniumsorte aus dem oxidierten Bereich herausgetrieben wird,wodurch die Germaniumkonzentration in der verbleibenden Halbleiterlegierungerhöhtwird. Da der Oxidationsprozess auf der Grundlage gut steuerbarer Prozessbedingungenausgeführtwird, d. h. entsprechende Oxidationsprozesse können unter Anwendung gut etablierterParametereinstellungen ausgeführtwerden, in denen die resultierende Oxidationsrate mit einem hohenGrad an Zuverlässigkeitvorhergesagbar ist, kann die Oxidation des Bereichs der Silizium/Germanium-Legierungmit einem hohen Maß anSteuerbarkeit ausgeführtwerden und auch das selektive Entfernen davon wird auf der Grundlagegut etablierter Messtechniken durchgeführt, beispielsweise unter AnwendungwässrigerFlusssäure(HF) und dergleichen. Folglich kann insgesamt auf der Grundlageeines anfänglichenselektiven epitaktischen Aufwachsprozesses mit einem gewünschten Gradan Prozessgleichmäßigkeiteine weitere Prozessvariabilitätauf einem geringen Niveau gehalten werden im Vergleich zu epitaktischenAufwachstechniken, in denen die Germaniumkonzentration zu erhöhen ist,beispielsweise beim Einstellen eines erforderlichen Bandlückenabstands,beim Bereitstellen einer gewünschtenhohen Versorgungskomponente und dergleichen. Folglich wird eineeffiziente Prozesssequenz bereitgestellt, um Silizium/Germanium-Legierungmit einem hohen Grad an Flexibilität im Hinblick auf die Germaniumkonzentration,die Schichtdicke und dergleichen auf Basis lediglich eines oderweniger gut etablierter Parametereinstellungen für den komplexen selektivenepitaktischen Aufwachsprozess bereitgestellt werden, wodurch insgesamtAusbeuteverluste in Halbleiterfertigungsstätten verringert werden, wennkomplexe Halbleiterbauelemente herzustellen sind. Es sollte beachtetwerden, dass die hierin offenbarten Prinzipien auch auf andere siliziumenthaltendeHalbleitermaterialien angewendet werden können, in denen die Oxidationsrate derdiversen nicht-Siliziumsorten unterscheidet, wodurch eine entsprechendeVerringerung der Zunahme der Konzentration der nicht-Siliziumsortehinter dem verbleibenden Bereich der zuvor hergestellten Halbleiterlegierungergibt.In general, the present disclosure provides techniques for producing a silicon-containing semiconductor alloy based on increased flexibility by expanding the capabilities of existing well-established recipes to adjust the concentration of a non-silicon species by providing a well controllable oxidation process and selective removal of the oxidized region, thereby efficiently modifying the material composition of the remaining part of the previously deposited semiconductor alloy. In some illustrative embodiments, a silicon germanium alloy is adjusted for germanium concentration without significantly contributing to the overall defect rate by oxidizing a region of the silicon germanium alloy, for example, by establishing a suitable oxidation environment Dry oxidation at elevated temperatures at about 900 degrees C and above, during which the silicon species preferentially reacts with the oxygen while the germanium species is expelled from the oxidized region, thereby increasing the germanium concentration in the remaining semiconductor alloy. Since the oxidation process is performed on the basis of well controllable process conditions, ie, corresponding oxidation processes can be carried out using well established parameter settings in which the resulting oxidation rate is predictable with a high degree of reliability, the oxidation of the silicon germanium alloy region can be accomplished a high degree of controllability and also the selective removal thereof is carried out on the basis of well-established measurement techniques, for example using aqueous hydrofluoric acid (HF) and the like. Consequently, overall on the Based on an initial selective epitaxial growth process with a desired degree of process uniformity, further process variability may be kept at a low level compared to epitaxial growth techniques in which the germanium concentration is to be increased, for example, adjusting a required band gap, providing a desired high supply component, and the like , Thus, an efficient process sequence is provided to provide silicon germanium alloy with a high degree of flexibility in terms of germanium concentration, film thickness, and the like, based on only one or less well-established parameter settings for the complex selective epitaxial growth process, thereby resulting in overall yield losses in semiconductor manufacturing facilities, when complex semiconductor devices are to be fabricated. It should be noted that the principles disclosed herein may be applied to other silicon-containing semiconductor materials in which the oxidation rate of the various non-silicon species differs, thereby correspondingly reducing the increase in concentration of the non-silicon species beyond the remaining portion of the previously fabricated semiconductor alloy results.
MitBezug zu den begleitenden Zeichnungen werden nunmehr weitere anschaulicheAusführungsformendetaillierter beschrieben.WithReference to the accompanying drawings will now be further illustrativeembodimentsdescribed in more detail.
Dasin
Wennin anderen FällenzusätzlicheProzessschritte erforderlich sind, etwa ein weiterer epitaktischerAufwachsprozess, kann die Ätzsequenz
Essollte beachtet werden, dass in einigen anschaulichen Ausführungsformeneiner oder beide Oxidationsprozesse
DieTransistoren
MitBezug zu den
Dasin
Daraufhinwird die Bearbeitung des Bauelements
Esgilt also: Die vorliegende Offenbarung stellt Techniken bereit,um die Eigenschaften einer siliziumenthaltenden Halbleiterlegierungin gut steuerbarer Weise zu variieren, indem ein stabiles und gleichmäßiges Abscheiderezeptfür einenselektiven epitaktischen Aufwachsprozess angewendet wird und indemzumindest ein Oxidations- und Ätzzyklus zumModifizieren der Eigenschaften ausgeführt wird, um beispielsweisedie Germaniumkonzentration in einer Silizium/Germanium-Legierungzu erhöhen. Aufdies Weise wird eine erhöhteFlexibilitäterreicht, indem beispielsweise eine schwellwerteinstellende Silizium/Germanium-Legierung und/odereine verformungsinduzierende Halbleiterlegierung in Drain- und Sourcebereichenmodernster Transistoren bereitgestellt wird.ItThus, the present disclosure provides techniquesto the properties of a silicon-containing semiconductor alloyto vary in a well controllable manner by providing a stable and uniform separation recipefor oneselective epitaxial growth process is applied and byat least one oxidation and etching cycle forModifying the properties is done, for examplethe germanium concentration in a silicon / germanium alloyto increase. OnThis will be an increasedflexibilityachieved by, for example, a threshold value adjusting silicon / germanium alloy and / ora strain-inducing semiconductor alloy in drain and source regionsState of the art transistors is provided.
WeitereModifizierungen und Variationen der vorliegenden Offenbarung werdenfür denFachmann angesichts dieser Beschreibung offenkundig. Daher ist dieseBeschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmanndie allgemeine Art und Weise des Ausführens der hierin offenbartenLehre zu vermitteln. Selbstverständlichsind die hierin gezeigten und beschriebenen Formen der Erfindungals die gegenwärtigbevorzugten Ausführungsformenzu betrachten.FurtherModifications and variations of the present disclosure will becomefor theOne skilled in the art in light of this description. Therefore, this isDescription as merely illustrative and intended for the purpose, the expertthe general manner of carrying out the disclosures hereinTeach teaching. Of courseare the forms of the invention shown and described hereinas the presentpreferred embodimentsconsider.
| Application Number | Priority Date | Filing Date | Title | 
|---|---|---|---|
| DE102009010883ADE102009010883B4 (en) | 2009-02-27 | 2009-02-27 | Adjusting a non-silicon content in a semiconductor alloy during FET transistor fabrication by an intermediate oxidation process | 
| US12/707,918US8735253B2 (en) | 2009-02-27 | 2010-02-18 | Adjusting of a non-silicon fraction in a semiconductor alloy during transistor fabrication by an intermediate oxidation process | 
| Application Number | Priority Date | Filing Date | Title | 
|---|---|---|---|
| DE102009010883ADE102009010883B4 (en) | 2009-02-27 | 2009-02-27 | Adjusting a non-silicon content in a semiconductor alloy during FET transistor fabrication by an intermediate oxidation process | 
| Publication Number | Publication Date | 
|---|---|
| DE102009010883A1true DE102009010883A1 (en) | 2010-09-02 | 
| DE102009010883B4 DE102009010883B4 (en) | 2011-05-26 | 
| Application Number | Title | Priority Date | Filing Date | 
|---|---|---|---|
| DE102009010883AExpired - Fee RelatedDE102009010883B4 (en) | 2009-02-27 | 2009-02-27 | Adjusting a non-silicon content in a semiconductor alloy during FET transistor fabrication by an intermediate oxidation process | 
| Country | Link | 
|---|---|
| US (1) | US8735253B2 (en) | 
| DE (1) | DE102009010883B4 (en) | 
| Publication number | Priority date | Publication date | Assignee | Title | 
|---|---|---|---|---|
| DE102010064291A1 (en)* | 2010-12-28 | 2012-06-28 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Transistors having large ε metal gate electrode structures comprising a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys | 
| DE102009047311B4 (en)* | 2009-11-30 | 2016-06-02 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | A method for producing gate structures with improved interface properties between a channel semiconductor alloy and a gate dielectric by means of an oxidation process | 
| DE102010063782B4 (en)* | 2010-12-21 | 2016-12-15 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Process for the production of transistors with metal gate stacks with a high ε and an embedded stress material | 
| Publication number | Priority date | Publication date | Assignee | Title | 
|---|---|---|---|---|
| DE102009010883B4 (en)* | 2009-02-27 | 2011-05-26 | Amd Fab 36 Limited Liability Company & Co. Kg | Adjusting a non-silicon content in a semiconductor alloy during FET transistor fabrication by an intermediate oxidation process | 
| US8211784B2 (en)* | 2009-10-26 | 2012-07-03 | Advanced Ion Beam Technology, Inc. | Method for manufacturing a semiconductor device with less leakage current induced by carbon implant | 
| US8329551B2 (en)* | 2010-11-16 | 2012-12-11 | GlobalFoundries, Inc. | Semiconductor device substrate with embedded stress region, and related fabrication methods | 
| US8778767B2 (en)* | 2010-11-18 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuits and fabrication methods thereof | 
| DE102010063296B4 (en)* | 2010-12-16 | 2012-08-16 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Production method with reduced STI topography for semiconductor devices with a channel semiconductor alloy | 
| DE102010064290B3 (en)* | 2010-12-28 | 2012-04-19 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Method for manufacturing semiconductor element for e.g. CPU, involves providing buried insulating layer under active region of substrate, removing part of oxidized material and forming drain- and source regions in active region | 
| DE102011076696B4 (en)* | 2011-05-30 | 2013-02-07 | Globalfoundries Inc. | A method of enhancing performance in transistors by providing an embedded semiconductor-based strain-inducing semiconductor material and a corresponding semiconductor device | 
| US8883598B2 (en)* | 2012-03-05 | 2014-11-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin capped channel layers of semiconductor devices and methods of forming the same | 
| US8900958B2 (en) | 2012-12-19 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation mechanisms of source and drain regions | 
| US8853039B2 (en) | 2013-01-17 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Defect reduction for formation of epitaxial layer in source and drain regions | 
| US9012956B2 (en)* | 2013-03-04 | 2015-04-21 | Globalfoundries Inc. | Channel SiGe removal from PFET source/drain region for improved silicide formation in HKMG technologies without embedded SiGe | 
| US9293534B2 (en) | 2014-03-21 | 2016-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of dislocations in source and drain regions of FinFET devices | 
| US9490345B2 (en)* | 2014-01-17 | 2016-11-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and manufacturing method thereof | 
| US10084063B2 (en)* | 2014-06-23 | 2018-09-25 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and manufacturing method thereof | 
| CN106257631A (en)* | 2015-06-18 | 2016-12-28 | 中芯国际集成电路制造(上海)有限公司 | A kind of semiconductor device and manufacture method, electronic installation | 
| Publication number | Priority date | Publication date | Assignee | Title | 
|---|---|---|---|---|
| US20070077701A1 (en)* | 2005-09-30 | 2007-04-05 | Tokyo Electron Limited | Method of forming a gate stack containing a gate dielectric layer having reduced metal content | 
| Publication number | Priority date | Publication date | Assignee | Title | 
|---|---|---|---|---|
| US77701A (en)* | 1868-05-05 | William youngblood | ||
| US4975387A (en)* | 1989-12-15 | 1990-12-04 | The United States Of America As Represented By The Secretary Of The Navy | Formation of epitaxial si-ge heterostructures by solid phase epitaxy | 
| US5212110A (en)* | 1992-05-26 | 1993-05-18 | Motorola, Inc. | Method for forming isolation regions in a semiconductor device | 
| US5268324A (en)* | 1992-05-27 | 1993-12-07 | International Business Machines Corporation | Modified silicon CMOS process having selectively deposited Si/SiGe FETS | 
| US5521108A (en)* | 1993-09-15 | 1996-05-28 | Lsi Logic Corporation | Process for making a conductive germanium/silicon member with a roughened surface thereon suitable for use in an integrated circuit structure | 
| US6054719A (en)* | 1995-04-20 | 2000-04-25 | Damilerchrysler Ag | Composite structure of an electronic component | 
| US6399970B2 (en)* | 1996-09-17 | 2002-06-04 | Matsushita Electric Industrial Co., Ltd. | FET having a Si/SiGeC heterojunction channel | 
| US5906951A (en)* | 1997-04-30 | 1999-05-25 | International Business Machines Corporation | Strained Si/SiGe layers on insulator | 
| JPH1168103A (en)* | 1997-08-22 | 1999-03-09 | Mitsubishi Electric Corp | Method for manufacturing semiconductor device | 
| US6607948B1 (en)* | 1998-12-24 | 2003-08-19 | Kabushiki Kaisha Toshiba | Method of manufacturing a substrate using an SiGe layer | 
| US6369438B1 (en)* | 1998-12-24 | 2002-04-09 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing the same | 
| US6339232B1 (en)* | 1999-09-20 | 2002-01-15 | Kabushika Kaisha Toshiba | Semiconductor device | 
| EP1672700A2 (en)* | 1999-11-15 | 2006-06-21 | Matsushita Electric Industrial Co., Ltd. | Field effect semiconductor device | 
| US6743680B1 (en)* | 2000-06-22 | 2004-06-01 | Advanced Micro Devices, Inc. | Process for manufacturing transistors having silicon/germanium channel regions | 
| JP4207548B2 (en)* | 2002-11-28 | 2009-01-14 | 株式会社Sumco | Semiconductor substrate manufacturing method, field effect transistor manufacturing method, semiconductor substrate, and field effect transistor | 
| JP3547419B2 (en)* | 2001-03-13 | 2004-07-28 | 株式会社東芝 | Semiconductor device and manufacturing method thereof | 
| WO2002082514A1 (en)* | 2001-04-04 | 2002-10-17 | Massachusetts Institute Of Technology | A method for semiconductor device fabrication | 
| US6855436B2 (en)* | 2003-05-30 | 2005-02-15 | International Business Machines Corporation | Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal | 
| JP2003031495A (en)* | 2001-07-12 | 2003-01-31 | Hitachi Ltd | Manufacturing method of semiconductor device substrate and semiconductor device | 
| US6492216B1 (en)* | 2002-02-07 | 2002-12-10 | Taiwan Semiconductor Manufacturing Company | Method of forming a transistor with a strained channel | 
| WO2003079415A2 (en)* | 2002-03-14 | 2003-09-25 | Amberwave Systems Corporation | Methods for fabricating strained layers on semiconductor substrates | 
| US6621114B1 (en)* | 2002-05-20 | 2003-09-16 | Advanced Micro Devices, Inc. | MOS transistors with high-k dielectric gate insulator for reducing remote scattering | 
| JP3874716B2 (en)* | 2002-11-14 | 2007-01-31 | 株式会社東芝 | Manufacturing method of semiconductor device | 
| US6924181B2 (en)* | 2003-02-13 | 2005-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd | Strained silicon layer semiconductor product employing strained insulator layer | 
| US7022593B2 (en)* | 2003-03-12 | 2006-04-04 | Asm America, Inc. | SiGe rectification process | 
| US6900502B2 (en)* | 2003-04-03 | 2005-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel on insulator device | 
| US7169226B2 (en)* | 2003-07-01 | 2007-01-30 | International Business Machines Corporation | Defect reduction by oxidation of silicon | 
| US7109099B2 (en)* | 2003-10-17 | 2006-09-19 | Chartered Semiconductor Manufacturing Ltd. | End of range (EOR) secondary defect engineering using substitutional carbon doping | 
| JP4413580B2 (en)* | 2003-11-04 | 2010-02-10 | 株式会社東芝 | Method for manufacturing element forming substrate | 
| JP4700324B2 (en)* | 2003-12-25 | 2011-06-15 | シルトロニック・ジャパン株式会社 | Manufacturing method of semiconductor substrate | 
| US7550370B2 (en)* | 2004-01-16 | 2009-06-23 | International Business Machines Corporation | Method of forming thin SGOI wafers with high relaxation and low stacking fault defect density | 
| US7205210B2 (en)* | 2004-02-17 | 2007-04-17 | Freescale Semiconductor, Inc. | Semiconductor structure having strained semiconductor and method therefor | 
| FR2868202B1 (en)* | 2004-03-25 | 2006-05-26 | Commissariat Energie Atomique | PROCESS FOR THE PREPARATION OF A SILICON DIOXIDE LAYER BY HIGH TEMPERATURE OXIDATION ON A SUBSTRATE HAVING AT LEAST ON THE SURFACE OF GERMANIUM OR A SICICIUM-GERMANIUM ALLOY | 
| US20050221591A1 (en)* | 2004-04-06 | 2005-10-06 | International Business Machines Corporation | Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates | 
| US7241647B2 (en)* | 2004-08-17 | 2007-07-10 | Freescale Semiconductor, Inc. | Graded semiconductor layer | 
| JP4945072B2 (en)* | 2004-11-09 | 2012-06-06 | 株式会社東芝 | Semiconductor device and manufacturing method thereof | 
| US7235492B2 (en)* | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces | 
| JP2006270000A (en)* | 2005-03-25 | 2006-10-05 | Sumco Corp | Manufacturing method of strained Si-SOI substrate and strained Si-SOI substrate manufactured by the method | 
| US7282402B2 (en)* | 2005-03-30 | 2007-10-16 | Freescale Semiconductor, Inc. | Method of making a dual strained channel semiconductor device | 
| FR2886761B1 (en)* | 2005-06-06 | 2008-05-02 | Commissariat Energie Atomique | A CHANNEL ELECTRODE-CONTINUOUS CHANNEL-BASED CHANNEL TRANSISTOR AND METHOD FOR MANUFACTURING SUCH TRANSISTOR | 
| JP4427489B2 (en)* | 2005-06-13 | 2010-03-10 | 株式会社東芝 | Manufacturing method of semiconductor device | 
| JP4940682B2 (en)* | 2005-09-09 | 2012-05-30 | 富士通セミコンダクター株式会社 | Field effect transistor and manufacturing method thereof | 
| US7696574B2 (en)* | 2005-10-26 | 2010-04-13 | International Business Machines Corporation | Semiconductor substrate with multiple crystallographic orientations | 
| FR2893446B1 (en)* | 2005-11-16 | 2008-02-15 | Soitec Silicon Insulator Techn | SEGMENT SEGMENT SEGMENT LAYER TREATMENT | 
| JP2007194336A (en)* | 2006-01-18 | 2007-08-02 | Sumco Corp | Method for manufacturing semiconductor wafer | 
| FR2898215B1 (en)* | 2006-03-01 | 2008-05-16 | Commissariat Energie Atomique | PROCESS FOR PRODUCING A SUBSTRATE BY GERMANIUM CONDENSATION | 
| FR2899017A1 (en)* | 2006-03-21 | 2007-09-28 | St Microelectronics Sa | METHOD FOR MAKING A CHANNEL TRANSISTOR COMPRISING GERMANIUM | 
| FR2902234B1 (en)* | 2006-06-12 | 2008-10-10 | Commissariat Energie Atomique | METHOD FOR MAKING Si1-yGey ZONES OF DIFFERENT Ge CONTENTS ON THE SAME SUBSTRATE BY GERMANIUM CONDENSATION | 
| US7687360B2 (en)* | 2006-12-22 | 2010-03-30 | Spansion Llc | Method of forming spaced-apart charge trapping stacks | 
| US7524740B1 (en)* | 2008-04-24 | 2009-04-28 | International Business Machines Corporation | Localized strain relaxation for strained Si directly on insulator | 
| US8232186B2 (en)* | 2008-05-29 | 2012-07-31 | International Business Machines Corporation | Methods of integrating reverse eSiGe on NFET and SiGe channel on PFET, and related structure | 
| US8048791B2 (en)* | 2009-02-23 | 2011-11-01 | Globalfoundries Inc. | Method of forming a semiconductor device | 
| DE102009010883B4 (en)* | 2009-02-27 | 2011-05-26 | Amd Fab 36 Limited Liability Company & Co. Kg | Adjusting a non-silicon content in a semiconductor alloy during FET transistor fabrication by an intermediate oxidation process | 
| DE102009021489B4 (en)* | 2009-05-15 | 2012-01-12 | Globalfoundries Dresden Module One Llc & Co. Kg | Increasing deposition uniformity for a semiconductor alloy provided for threshold adjustment in an active region | 
| DE102009035418B4 (en)* | 2009-07-31 | 2012-08-16 | Globalfoundries Dresden Module One Llc & Co. Kg | Producing a channel semiconductor alloy by depositing a hard mask for selective epitaxial growth | 
| DE102010063774B4 (en)* | 2010-12-21 | 2012-07-12 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Production of a channel semiconductor alloy by means of a nitride hard mask layer and an oxide mask | 
| US8574981B2 (en)* | 2011-05-05 | 2013-11-05 | Globalfoundries Inc. | Method of increasing the germanium concentration in a silicon-germanium layer and semiconductor device comprising same | 
| US8703551B2 (en)* | 2011-05-06 | 2014-04-22 | Globalfoundries Inc. | Process flow to reduce hole defects in P-active regions and to reduce across-wafer threshold voltage scatter | 
| Publication number | Priority date | Publication date | Assignee | Title | 
|---|---|---|---|---|
| US20070077701A1 (en)* | 2005-09-30 | 2007-04-05 | Tokyo Electron Limited | Method of forming a gate stack containing a gate dielectric layer having reduced metal content | 
| Publication number | Priority date | Publication date | Assignee | Title | 
|---|---|---|---|---|
| DE102009047311B4 (en)* | 2009-11-30 | 2016-06-02 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | A method for producing gate structures with improved interface properties between a channel semiconductor alloy and a gate dielectric by means of an oxidation process | 
| DE102010063782B4 (en)* | 2010-12-21 | 2016-12-15 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Process for the production of transistors with metal gate stacks with a high ε and an embedded stress material | 
| DE102010064291A1 (en)* | 2010-12-28 | 2012-06-28 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Transistors having large ε metal gate electrode structures comprising a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys | 
| US8343826B2 (en) | 2010-12-28 | 2013-01-01 | Globalfoundries Inc. | Method for forming a transistor comprising high-k metal gate electrode structures including a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys | 
| DE102010064291B4 (en)* | 2010-12-28 | 2013-06-06 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | A method of fabricating transistors having large ε metal gate electrode structures with a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys | 
| Publication number | Publication date | 
|---|---|
| US20100221883A1 (en) | 2010-09-02 | 
| DE102009010883B4 (en) | 2011-05-26 | 
| US8735253B2 (en) | 2014-05-27 | 
| Publication | Publication Date | Title | 
|---|---|---|
| DE102009010883B4 (en) | Adjusting a non-silicon content in a semiconductor alloy during FET transistor fabrication by an intermediate oxidation process | |
| DE102007046849B4 (en) | Method of making large-gate-gate structures after transistor fabrication | |
| DE102007041207B4 (en) | CMOS device with gate insulation layers of different type and thickness and method of manufacture | |
| DE102011077661B4 (en) | Metal gate electrode structures and methods of making same by reducing the gate fill aspect ratio in a replacement gate technology | |
| DE102009006886B4 (en) | Reducing thickness variations of a threshold adjusting semiconductor alloy by reducing the patterning non-uniformities before depositing the semiconductor alloy | |
| DE102009046261B4 (en) | A method of fabricating transistors having large ε metal gate electrode structures made in front of the sacrificial carbon spacer based drain / source regions | |
| DE102007063270B4 (en) | A method of reducing the generation of charge trapping sites in gate dielectrics in MOS transistors by performing a hydrogen treatment | |
| DE102009031155A1 (en) | Uniform high-k metal gate stack by setting a threshold voltage for complex transistors by diffusing a metal species prior to gate patterning | |
| DE102008059648B4 (en) | Greater ε gate electrode structure formed after transistor fabrication using a spacer | |
| DE102009055393B4 (en) | Method for manufacturing and semiconductor device with better confinement of sensitive materials of a metal gate electrode structure with high ε | |
| DE102010002450B4 (en) | Transistors with large gate metal gate electrode structures and matched channel semiconductor materials | |
| DE102009015715A1 (en) | Maintaining the integrity of a high-k gate stack through an offset spacer used to determine a deformation-inducing semiconductor alloy spacing | |
| DE102010063907B4 (en) | A method of overcoating gate electrode structures after selectively forming a strain-inducing semiconductor material | |
| DE102008063432B4 (en) | A method of adjusting the strain caused in a transistor channel of a FET by semiconductor material provided for threshold adjustment | |
| DE102008063402B4 (en) | Reducing the threshold voltage fluctuation in transistors with a channel semiconductor alloy by reducing the deposition nonuniformities | |
| DE102010064291B4 (en) | A method of fabricating transistors having large ε metal gate electrode structures with a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys | |
| DE102010063774B4 (en) | Production of a channel semiconductor alloy by means of a nitride hard mask layer and an oxide mask | |
| DE102009035418A1 (en) | Producing a channel semiconductor alloy by depositing a hard mask for selective epitaxial growth | |
| DE102011080440B4 (en) | A method of fabricating large gate metal gate electrode structures by early capping | |
| DE102010028459B4 (en) | Reduced STI topography in high-G metal gate transistors by using a mask after deposition of a channel semiconductor alloy | |
| DE102011090169A1 (en) | Structuring of sensitive metal-containing layers with increased mask material adhesion by providing a modified surface layer | |
| DE102011003385B4 (en) | Method for producing a semiconductor structure with deformation-inducing semiconductor material | |
| DE102008049717B4 (en) | Process for the production of a semiconductor component as a transistor with a metal gate stack with a high ε and a compressively strained channel | |
| DE102009010846B4 (en) | A method of fabricating a high-ε gate electrode structure to increase its integrity by including a metal capping layer after deposition | |
| DE102011080589A1 (en) | Producing a channel semiconductor alloy by creating a nitride-based hardmask layer | 
| Date | Code | Title | Description | 
|---|---|---|---|
| OP8 | Request for examination as to paragraph 44 patent law | ||
| 8127 | New person/name/address of the applicant | Owner name:AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG,, DE Owner name:GLOBALFOUNDRIES INC., GRAND CAYMAN, KY | |
| R081 | Change of applicant/patentee | Owner name:GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE Free format text:FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY Effective date:20110426 Owner name:GLOBALFOUNDRIES INC., KY Free format text:FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY Effective date:20110426 Owner name:GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE Free format text:FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY Effective date:20110426 Owner name:GLOBALFOUNDRIES INC., KY Free format text:FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY Effective date:20110426 | |
| R020 | Patent grant now final | Effective date:20110827 | |
| R082 | Change of representative | Representative=s name:GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER, Representative=s name:GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE | |
| R081 | Change of applicant/patentee | Owner name:GLOBALFOUNDRIES INC., KY Free format text:FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY Effective date:20120125 Owner name:GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE Free format text:FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY Effective date:20120125 Owner name:GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE Free format text:FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY Effective date:20120125 Owner name:GLOBALFOUNDRIES INC., KY Free format text:FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY Effective date:20120125 | |
| R082 | Change of representative | Representative=s name:GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE Effective date:20120125 Representative=s name:GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE Effective date:20120125 | |
| R119 | Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee |