Movatterモバイル変換


[0]ホーム

URL:


CN1823404B - 半导体装置的制造方法及衬底处理装置 - Google Patents

半导体装置的制造方法及衬底处理装置
Download PDF

Info

Publication number
CN1823404B
CN1823404BCN2004800203975ACN200480020397ACN1823404BCN 1823404 BCN1823404 BCN 1823404BCN 2004800203975 ACN2004800203975 ACN 2004800203975ACN 200480020397 ACN200480020397 ACN 200480020397ACN 1823404 BCN1823404 BCN 1823404B
Authority
CN
China
Prior art keywords
reacting furnace
substrate
temperature
film
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2004800203975A
Other languages
English (en)
Other versions
CN1823404A (zh
Inventor
寿崎健一
王杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric IncfiledCriticalHitachi Kokusai Electric Inc
Publication of CN1823404ApublicationCriticalpatent/CN1823404A/zh
Application grantedgrantedCritical
Publication of CN1823404BpublicationCriticalpatent/CN1823404B/zh
Anticipated expirationlegal-statusCritical
Expired - Lifetimelegal-statusCriticalCurrent

Links

Images

Classifications

Landscapes

Abstract

本发明提供半导体装置的制造方法,该方法包括以下工序:在反应炉1内,在衬底10上进行成膜的工序;将成膜后的衬底10从反应炉1中卸载后,在反应炉1内没有衬底10的状态下,强制冷却反应炉1内部的工序。与自然空气冷却比较,使反应炉1内部附着的堆积膜的应力增大,积极地产生热应力,使堆积膜发生强于自然空气冷却的强制龟裂。因发生龟裂而飞散的微粒通过大气压状态下的炉内净化被强制且有效地排放到反应炉外。

Description

半导体装置的制造方法及衬底处理装置
技术领域
本发明涉及半导体装置的制造方法及衬底处理装置,特别是经化学气相沉积(Chemical Vapor Deposition,CVD)处理的半导体装置的制造方法及衬底处理装置,并且涉及以减少制造过程中产生的微粒为目的的半导体装置的制造方法及衬底处理装置。 
背景技术
在制造半导体装置的工序中,在晶圆等被处理衬底上利用化学气相沉积(CVD)法进行成膜处理。 
上述成膜处理例如如下进行。即,将规定片数的晶圆装入舟皿中。将装入舟皿中的晶圆装载(load)到反应炉内。对反应炉内部进行真空排气,然后向反应炉内导入反应气体,在晶圆上进行成膜处理。 
成膜处理结束后,将反应炉内恢复大气压状态,卸载舟皿。将舟皿完全从炉内卸载,在该状态下冷却舟皿。与此同时,降低反应炉内的温度,进行气体清洗(减压氮气净化)。由此增大附着在反应炉内壁上的堆积膜的应力,使堆积膜发生龟裂,龟裂发生时产生的微粒通过气体清洗而排出(参见日本公开公报-特开2000-306904号)。 
发明内容
此种情况下,在已经将处理过的衬底从反应炉内卸载的状态下,降低炉内温度,例如,以自然空气冷却的降温速率(3℃/min)将炉内温度从成膜温度经数十分钟、例如50分钟左右降至150℃左右。但是,3℃/min左右的降温速率使堆积膜产生强制龟裂(由堆积膜和石英反应管之间的热膨胀率不同产生的热应力超过了允许临界值(堆积膜的机械断裂强度)所导致的膜龟裂),由其产生的颗粒排出效率降低,特别是在 φ300mm晶圆的处理中,累积膜厚超过1.2μm时,颗粒大量地产生,尤其是φ300mm晶圆的处理中,颗粒减少效果极低。而且,由于自然空气冷却的温度下降(
Figure 048203975_1
3℃/min)过程需要50分钟左右的时间,因此存在衬底处理装置(半导体制造装置)的运转率下降、生产率恶化的问题。 
本发明的主要目的是提供颗粒减少效果优异、可以改善生产率的半导体装置的制造方法及衬底处理装置。 
本发明的一种方案是提供半导体装置的制造方法,其特征在于,该方法包括以下工序: 
将衬底装载到反应炉内的工序; 
在所述反应炉内,在所述衬底上进行成膜的工序; 
将成膜后的所述衬底从所述反应炉内卸载的工序; 
卸载所述衬底后,在所述反应炉内没有所述衬底的状态下强制冷却所述反应炉内的工序。 
本发明的其他方案是提供半导体装置的制造方法,其特征在于,该方法包括以下工序: 
将衬底装载到反应炉内的工序; 
在所述反应炉内,在所述衬底上进行成膜的工序; 
将成膜后的所述衬底从所述反应炉内卸载的工序; 
卸载所述衬底后,在所述反应炉内没有所述衬底的状态下,将炉内温度降至比成膜温度低的温度,同时,在大气压状态下气体清洗所述炉内的工序。 
本发明的其他方案是提供半导体装置的制造方法,其特征在于,该方法包括以下工序: 
将衬底装载到反应炉内的工序; 
在所述反应炉内,在所述衬底上进行成膜的工序; 
将成膜后的所述衬底从所述反应炉内卸载的工序; 
卸载所述衬底后,在所述反应炉内没有所述衬底的状态下,将炉内温度降至比成膜温度低的温度,同时,向所述炉内供给气体,并使用与在所述成膜工序中使用的排气通路不同的排气通路进行排气的工序。 
本发明的其他方案是提供半导体装置的制造方法,其特征在于,该方法包括以下工序: 
将衬底装载到反应炉内的工序; 
在所述反应炉内,在所述衬底上进行成膜的工序; 
将成膜后的所述衬底从所述反应炉内卸载的工序; 
卸载所述衬底后,在所述反应炉内没有所述衬底的状态下,将炉内温度升高至比成膜温度高的温度,然后再降至比所述成膜温度低的温度的工序。 
本发明的其他方案是提供衬底处理装置,其特征在于,该装置具有以下部件: 
对衬底进行成膜的反应炉; 
向所述反应炉内供给成膜气体的成膜气体供给通路; 
向所述反应炉内供给清洗用气体的清洗用气体供给通路; 
排出所述反应炉内气体的排气通路; 
将所述衬底放入所述反应炉内/从反应炉内卸载所述衬底的传输装置; 
强制冷却所述反应炉内的强制冷却装置; 
控制装置,所述控制装置控制所述强制冷却装置,在从所述反应炉中卸载衬底后,在所述反应炉内没有所述衬底的状态下,强制冷却所述反应炉内。 
附图说明
图1是表示为说明本发明优选实施方式中的衬底处理装置的纵剖面简图。 
图2是表示为说明本发明优选实施方式中的衬底处理装置的纵剖面简图。 
图3表示本发明的优选实施方式中的晶圆处理流程图。 
图4表示本发明第1实施例中实施LTP时的温度下降幅度与颗粒的关系。 
图5表示本发明第2实施例中实施LTP时的温度下降速率与颗粒的关系。 
图6表示本发明第3实施例中实施LTP时的累积膜厚与颗粒的关系。 
具体实施方式
本发明的优选实施方式是在从反应炉内卸载衬底的状态下利用具有骤冷机构的加热器以大于或等于10℃/min、优选大于或等于20℃/min的降温速率快速骤冷反应炉内,使在半导体制造过程中在反应炉内形成的堆积膜强制发生龟裂,然后利用大气压气体清洗强制排出龟裂发生时产生的微粒,减少微粒对晶圆的附着,由此减少反应炉的清洗频率,从而改善生产率。 
下面,参照附图说明本发明的优选实施方式。首先参照图1、图2说明作为本发明的优选实施方式中进行CVD成膜处理的衬底处理装置的半导体制造装置。图1、图2所示的半导体制造装置是热壁型批处理式竖式半导体制造装置。 
图1表示将承载了晶圆10的舟皿9装载到反应炉1内,然后用炉口密封盖12密封炉口凸缘2下面的开口部的状态,图2表示将承载了晶圆10的舟皿9从反应炉1卸载移至传输室11,然后用炉口闸门阀13密封炉口凸缘2下面的开口部的状态。 
反应炉1是热壁型反应炉,由以下部分构成:金属制炉口凸缘2,在炉口凸缘2上气密设置的石英外管3,在石英外管3内同轴设置的石英内管4,在石英外管3的外侧围绕石英外管3地设置的加热器5等。 
被覆石英外管3和加热器5地设置强制冷却机构40。强制冷却机构40由以下部分构成:被覆石英外管3和加热器5地设置的绝热外壳41,与绝热外壳41内部空间连通地设置的供给通路42,通过绝热外壳41顶部的排气孔44与绝热外壳41内部空间连通地设置的排气通路43。供给通路42中设置了导入鼓风机45和闸门46。排气通路43中设置了闸门47、散热器48和排气鼓风机49。 
向反应炉1内部导入反应气的气体导入通路6、7连通,同时连通排 气通路30。气体导入通路6、7与炉口凸缘2的低于石英内管4下端的部分连接。排气通路30与炉口凸缘2的低于石英外管3的下端且高于石英内管4下端的部分连接。排气通路30包括以下部分:与真空泵等排气装置8连通的主排气通路31、从主排气通路31分支而设置的高流速排气(HFV:High Flow Vent)通路32、从主排气通路31分支而设置的低流速排风通路(图中未示出)、从主排气通路31分支而设置的防止过度加压通路33以及氮气导入通路34。在主排气通路31与高流速排气通路32的分支点的下游侧设置了作为主阀的APC阀。低流速排气通路上设置了上述APC阀,使其作为旁路。 
高流速排气通路32与建筑物附带设备的排气设备连通。高流速排气通路32设定了大于主排气通路31、低流速排气通路(图中未示出)、防止过度加压通路33的排气流量,在大气压下能排放大流量的气体。高流速排气通路32的内径小于主排气通路31的内径,大于低流速排气通路(图中未示出)、防止过度加压通路33的内径。高流速排气通路32设有阀35,通过切换阀35和APC阀,可以使排气路线在主排气通路31和高流速排气通路32之间切换。 
防止过度加压通路33设有阀36及单向阀37,当主排气通路31,即,反应炉1内高于大气压时,单向阀37打开,主排气通路31内的环境气体通过单向阀37排出,由此防止主排气通路31,即,反应炉1内变成高于大气压的过度加压状态。 
在反应炉1下方的衬底传输室11内设置了作为舟皿传输(升降)装置的舟皿升降机15,升降舟皿9,将舟皿9装载到反应炉1内或从中卸载。将作为被处理衬底的晶圆10在舟皿内以水平姿势、彼此具有一定间隔地填装多段。舟皿9例如可以由石英制成。 
如图1所示,将舟皿9装载到反应炉1内,用炉口密封盖12密封炉口凸缘2的下端开口部位的状态下,炉口闸门阀13待避在待避位置14。如图2所示,将舟皿9从反应炉1卸载移至传输室11时,用炉口闸门阀13密封炉口凸缘2的下端开口部位。 
通过控制装置20可以控制加热器5的加热、强制冷却装置40的冷却、气体导入通路6、7的气体导入、阀门切换的排气通路选择、排气通路的排气等。 
下面,参照图1至图3对作为半导体装置制造工序的一个工序的使用上述装置利用CVD法对半导体硅晶圆进行成膜处理的方法进行说明。另外,在下面的说明中,构成本装置的各部分的运转由控制装置20控制。 
如上所述,在反应炉1的下方是衬底传输室11,在舟皿9下降至衬底传输室11内的状态下,通过图中未示出的衬底传输机向舟皿9中填装规定片数的晶圆10(装载晶圆)。在该状态下,使反应炉1内的环境气体保持在大气压下,在向舟皿9填装晶圆10的同时向反应炉1内导入惰性气体,例如氮气。另外,此时反应炉1内的温度设定在600℃。 
接下来,利用舟皿升降机15升高舟皿9,将舟皿9装载到温度设定为600℃的反应炉1中(放置舟皿)。将舟皿9装载到反应炉1中后,通过低流速排气通路并利用排气装置8缓慢地对反应炉1的内部进行真空排气(慢速抽真空,Slow Pump)。当反应炉1内的压力降至规定的压力,打开APC阀,通过主排气通路31并利用排气装置8对反应炉1的内部进行真空排气,使其达到规定的压力。 
使反应炉1内的温度从600℃升温至730℃~800℃,例如760℃的成膜温度(升温,Ramp Up)、晶圆温度达到成膜温度并稳定时(预热,Pre Heat),利用气体导入通路6、7向反应炉1内导入反应气,对晶圆10实施成膜处理(Depo)。例如,在晶圆10上形成Si3N4膜(氮化硅膜,以下称为SiN)的情况下,使用DCS(二氯硅烷(SiH2Cl2))、氨气等气体。此时,反应炉1内保持730℃~800℃的成膜温度。 
成膜处理结束后,通过边向反应炉1内导入惰性气体(例如,氮气)边排气来气体清洗反应炉1内部,除去残留气体(净化,Purge)。然后,关闭主阀,保持惰性气体的导入,从而使反应炉1内部恢复大气压状态(恢复大气压,Back Fill)。接下来,利用舟皿升降机将舟皿9承载的成膜后的晶圆10从反应炉1内降下,卸载在衬底传输室11内(卸载舟皿)。 
另外,在舟皿9卸载前炉内温度从760℃降至700℃,这是为了提高舟皿卸载速度。即,将舟皿卸载时反应炉1内的温度设定为低于成膜温度(760℃)的温度(700℃),能使舟皿卸载时晶圆面内的温度差变小,晶圆的弯曲量也变小。在这种状态下,对晶圆不产生不良影响,在某种程度上能够加快舟皿卸载。而且,为了缓和舟皿卸载时对周围部件的热影响,也需要稍微降低温度。 
卸载后,用炉口闸门阀13气密密封反应炉的开口(舟皿出入口),即,炉口凸缘2的开口(参见图2)。然后,在衬底传输室11内冷却成膜处理后的晶圆10(冷却晶圆)。衬底传输室11内的晶圆10冷却后,利用图中未示出的衬底传输机将晶圆10从舟皿9中卸载(卸载晶圆,W/F Discharge)。 
上述晶圆10的冷却(Wafer Cool)、卸载(W/F Discharge)同时进行,在大气压状态下,使用惰性气体对气密密封的反应炉1内进行气体清洗。例如,进行氮气净化。进行净化时,优选利用气体导入通路6、7以大于或等于20L/min的大流量向反应炉1内供给氮气,同时通过从主排气通路31分支而设置的高流速排气通路32排气。此种情况下,需要打开阀35,关闭主阀。 
在上述大气压状态下的炉内净化的同时利用强制冷却机构40以大于自然空气冷却时的降温速率(3℃/min)的降温速率降低(下降)反应炉1内的温度,使炉内温度急剧变化。这使得附着在反应炉1内的堆积膜的应力比自然空气冷却时增大,积极地产生热应力,使堆积膜发生强于自然空气冷却的强制龟裂。利用大气压状态的炉内净化将龟裂产生的飞散微粒强制且有效地排出反应炉外。利用强制冷却机构40降低炉内温度时,开放闸门46、47,利用排气鼓风机49排出绝热外壳41内的高温环境气体,同时利用导入鼓风机45向绝热外壳41内导入空气或氮气等冷却介质。 
降温速率至少大于或等于10℃/min,优选大于或等于20℃/min。炉内温度的下降设定为使反应炉1内的温度至少降至成膜温度的1/2(50%)或1/2(50%)以下的温度。即,温度降低幅度(量)至少是成膜温度的 1/2(50%)或1/2(50%)以上。例如,成膜温度为730~800℃时,设定为将反应炉1内的温度从800℃降至400℃。 
另外,也可以在降低反应炉1内的温度之前,先将反应炉1内的温度升高至高于成膜温度的温度,然后再降至低于成膜温度的温度。图3的情况下,舟皿卸载后先以40℃/min的升温速率将反应炉1内的温度升高至高于舟皿卸载时的炉内温度(700℃)、且高于成膜温度(760℃)的温度(800℃),然后以20℃/min的降温速率降低至低于成膜温度的温度(400℃)。如上所述,如果在降低炉内温度之前,先使其升高,则不必使降温终点温度低至某种程度即可增大温度下降幅度(温度差),由此能缩短温度下降后的升温时间。 
如上所述,炉内温度下降前的升高是为了不必使降温终点温度低至某种程度即可增大温度差(温度下降幅度)。此操作也可以省略,但省略该操作的情况下,温度差(温度下降幅度)变小,颗粒减少效果降低。为了不降低颗粒减少效果而使温度差(温度下降幅度)增大,需要将降温终点温度降至更低,由此导致降温后的升温时间变长、生产率变差。 
另外,由于降低炉内温度之前的温度升高还会使炉内温度急剧变化,因此使附着在炉内的堆积膜发生某种程度的龟裂。但是,根据理论计算,炉内温度降低时,石英(炉壁)和堆积膜之间的应力差变大,从而产生更强的龟裂。 
另外,在进行不经强制冷却(快速骤冷)而是将炉内温度从800℃慢慢降至400℃,同时进行净化的实验时,附着在炉内的堆积膜基本不发生龟裂,效果不充分。即,只通过增大温度差(温度下降幅度)并不能得到充分的效果。要得到充分的效果,需要(1)温度差(温度下降幅度)和(2)温度下降速度二者都增大。 
对于与炉内的强制冷却同时进行的使用惰性气体对反应炉1内进行的气体清洗,与在减压状态下进行气体清洗的情形比较,在大气压状态下进行气体清洗的情形具有颗粒除去效果大的优点。这可以说是因为与减压状态比较,大气压状态下运送杂质的分子、原子多,运送杂质的能量变大。 
另外,如果利用涡轮分子泵等真空泵在减压下排出氮气分子,则由于氮气分子分散地存在于氮气流中,氮气分子的平均自由行程变大,因此即使提高氮气的流速,也难以使颗粒变成分子流排出。受热作布朗运动的颗粒不被氮气分子阻挡地因重力而落下的概率高。 
与此相反,如果在大气压状态下排气,则气体流速即使降至例如10cm/分钟的程度,也由于氮气分子密集地存在于气体流中,与颗粒撞击,所以容易将颗粒排出。而且,在炉内,氮气流的风从导入侧吹向排气侧,以使颗粒与风一起被吹出炉外。 
实际上在进行于减压状态下进行气体清洗、于大气压状态下进行气体清洗的比较实验时,大气压下进行时的颗粒除去效果远远大于减压下进行的效果。 
另外,减压净化的情况下,净化后需要进行使炉内恢复大气压的工序,从而消耗时间,而大气压净化时不需要该工序,从而具有缩短时间的优点。 
减压净化时,在排气系统或其周围附着的副产物升华,在炉内形成逆流,而大气压净化时不出现上述问题。 
另外,在只强制冷却炉内而不进行净化时,产生的颗粒落到炉口闸门阀13上。在进行接下来的成膜时,落在炉口闸门阀13上的颗粒以保持在炉口闸门阀13上的状态退到待避位置14。即,进行接下来的成膜时,能使炉内处于不存在颗粒的状态,从而不影响接下来的处理。另外,在炉口闸门阀13上面设置了沟槽(凹部),由该沟槽容纳落下来的颗粒,从而在炉口闸门阀13退到待避位置14时能防止颗粒的脱落。另外,在待避位置14设置了颗粒除去机构(吸引装置等),在炉口闸门阀13待避期间能除去炉口闸门阀上的颗粒。 
如上所述,在从反应炉1卸载晶圆10,并将反应炉1气密密封的状态下,将反应炉1内的温度至少以大于或等于10℃/min、优选大于或等于20℃/min的降温速率降低成膜温度的1/2或1/2以上,同时在大气压状态下用惰性气体清洗反应炉1内部,以上一系列操作是通过控制装置20控制加热器5或强制冷却装置40、气体供给系统、排气系统等进行 的。将如上所述地进行的炉内净化称为低温净化或LTP(LowTemperature Purge)。 
在LTP中炉内温度降低前的升温时的优选升温速率为大于或等于3℃/min,较优选10~100℃/min,进一步优选30~100℃/min。而且,炉内温度降低时的优选降温速率为大于或等于3℃/min,较优选10~100℃/min,进一步优选20~100℃/min。 
在衬底传输室11内,完成将晶圆10从舟皿9中卸载的操作后,将规定片数的下一批晶圆10利用衬底传输机填装到舟皿9中(晶圆填装)。与此同时,将炉内温度升至备用温度,例如600℃。将晶圆10填装到舟皿9中后,通过舟皿升降机15升高舟皿9,将舟皿9装载到反应炉1内(装载舟皿),继续进行下一批的处理。 
在LTP后、装载舟皿前,将炉内温度从400℃升温至600℃是为了缩短下一次成膜中装载舟皿后的炉内升温时间,从而缩短总的成膜时间。假设LTP后将炉内温度保持在LTP的下降终点温度400℃,在下一次成膜时,在400℃进行舟皿装载,然后将炉内温度从400℃升温至760℃,需要升温360℃,升温时间延长。如果LTP后将炉内温度升高至600℃,并保持在此温度,那么在下一次成膜时,在600℃进行舟皿装载,然后将炉内温度从600℃升温至760℃,仅需升温160℃,能缩短升温时间。另外,如果舟皿装载时的炉内温度过高,则会出现晶圆跳跃的问题,考虑到该问题,而将炉内温度保持在600℃。 
在上述晶圆处理中,舟皿卸载后,在气密密封反应炉1的状态下(反应炉1内没有晶圆10的状态),大气压状态下氮气净化反应炉1,并在大气压状态下排气。同时利用强制冷却结构40以大于或等于20℃/min的降温速率将炉内温度从800℃降低(下降)至400℃。通过上述温度降低处理,使反应炉1内表面附着的反应副产物堆积膜的应力大于自然空气冷却(降温速率3℃/min)时的应力,积极地产生热应力,使堆积膜发生强于自然空气冷却的强制龟裂。而且,通过在大气压下气体清洗反应炉1内部,因发生龟裂而飞散的微粒被强制且有效地排出反应炉1外。 
成膜时的炉内温度比LTP的降温终点温度(400℃)高数百度,经一次降温处理(400℃)后的堆积膜由于应力缓和,因此能避免在下一批处理的SiN成膜时发生龟裂。而且,如果温度升高,则所述堆积膜的应力减小,成膜处理时的堆积膜的应力处于降低状态,因此成膜处理时新龟裂发生的可能性进一步降低。 
预先使堆积膜发生龟裂,使伴随龟裂的微粒在舟皿装载前被强制排放到反应炉1外,由此可以在没有微粒的状态下进行晶圆处理。另外,由于能够有效地除去堆积膜产生的颗粒,因此反应炉1的清洗可以在堆积膜脱落前进行。而且,利用本发明能大幅度延长至堆积膜脱落状态的时间,因此能大幅度(至堆积膜的厚度为25μm)延长反应炉1的清洗时间的间隔。 
由于SiC与SiN的热膨胀率接近,因此SiC与SiN之间基本不产生应力差。因此,在外管3或内管4等反应管由SiC制成时,基本不能期待LTP的效果。与此相反,由于SiO2(石英)与SiN的热膨胀率差大,因此SiO2与SiN之间的应力差变大。即,在使用石英制的反应管进行SiN膜的成膜时,LTP变得特别有效。 
实施例1 
下面,作为第1实施例,说明为研究LTP中降温幅度与产生的颗粒的关系而进行的实验。 
利用上述实施方案中的晶圆处理方法在φ300mm的硅晶圆上形成SiN膜,特别是形成1次成膜的膜厚大于或等于 
Figure 443279DEST_PATH_G13401019150138000D000021
的Si3N4膜。反应气可以使用DCS(SiH2Cl2)、氨气,成膜处理温度为730℃~800℃。LTP中的降温速率固定为20℃/min。按300℃、400℃、800℃这样3种情况改变降温幅度,分别进行处理,测定各种情况下处理后的颗粒数。 
上述测定结果(LTP中降温幅度和颗粒的关系)如图4所示。横轴表示LTP的降温幅度(℃),纵轴表示附着在晶圆上的0.13μm或0.13μm以上的颗粒数(个/晶圆)。图中,T表示TOP(顶部)的晶圆,B表示BOTTOM(底部)的晶圆。由图4可知,降温幅度为300℃时,颗粒数为60~70个左右,而降温幅度大于或等于400℃时,颗粒数小于或等于40个。即,相对成膜温度730℃~800℃,如果降温幅度大于或等于400℃(成膜温度的50%),则能大幅度(至少小于或等于40个)降低颗粒数。 
实施例2 
下面,作为第2实施例,说明为研究LTP中降温速率与产生的颗粒的关系而进行的实验。 
利用上述实施方案中的晶圆处理方法在φ300mm的硅晶圆上形成SiN膜,特别是形成1次成膜的膜厚大于或等于1500
Figure 048203975_5
的Si3N4膜。反应气使用DCS(SiH2Cl2)、氨气,成膜处理温度为730℃~800℃。LTP中的降温幅度固定为400℃。按0℃/min、4℃/min、20℃/min这样3种情况改变降温速率,分别进行处理,测定各种情况下处理后的颗粒数。 
上述测定结果(LTP中降温速率和颗粒的关系)如图5所示。横轴表示LTP的降温速率(℃/min),纵轴表示附着在晶圆上的0.13μm或0.13μm以上的颗粒数(个/晶圆)。图中,T表示TOP(顶部)的晶圆,B表示BOTTOM(底部)的晶圆。由图5可知,降温速率为0℃/min时(即,未降温的情形),颗粒数在TOP为460个左右,在BOTTOM为60个左右。降温速率为4℃/min时,颗粒数在TOP为大于或等于100个,在BOTTOM为70个左右。而降温速率为20℃/min时,颗粒数在TOP、BOTTOM都小于或等于30个。即,如果使LTP中的降温速率大于或等于20℃/min,则能大幅度(至少小于或等于30个)减少颗粒数。另外,在其他实验中,可以确认如果降温速率至少大于或等于10℃/min,那么与自然空气冷却的情形比较,能大幅度减少颗粒数。 
实施例3 
下面,作为第3实施例,说明为研究实施LTP时的累积膜厚与颗粒的关系而进行的连续成膜实验。 
利用上述实施方案中的晶圆处理方法在φ300mm的硅晶圆上形成SiN膜,特别是形成1次成膜的膜厚大于或等于1500
Figure 048203975_6
(150nm)的Si3N4 膜。反应气使用DCS(SiH2Cl2)、氨气,成膜处理温度为730℃~800℃。LTP中的降温幅度固定为400℃,降温速率固定为20℃/min。由于晶圆冷却时间为15分钟,晶圆回收时间为15分钟,所以为了不降低生产率,在该合计时间(30分钟)内,与上述操作同时进行LTP。在本实施例中,LTP总时间为30分钟(降温前的升温时间为10分钟、降温时间为20分钟)。在该条件下,对晶圆进行连续批处理,测定每一批处理后晶圆上附着的颗粒数。
上述测定结果(累积膜厚与颗粒的关系)如图6所示。横轴表示连续批处理的次数(Run No.),左侧的纵轴表示晶圆上附着的0.13μm或0.13μm以上的颗粒数(个/晶圆),右侧的纵轴表示累积膜厚(nm)。图中,TOP表示顶部的晶圆,BOTTOM表示底部的晶圆。另外,棒图表示颗粒数,曲线图表示累积膜厚。由图6可知,进行到Run No.119(第119次的批处理),即,直至累积膜厚为23μm(23000nm)时,颗粒数小于或等于50个。另外,本发明人进一步进行实验,确认了在累积膜厚超过25μm(25000nm)的状态下,颗粒数也是小于或等于50个。 
不实施本发明的情况下,累积(堆积)膜厚超过1μm(1000nm)时,颗粒数急剧增加,达到远远超过200个的数值。但是,如果实施本发明,则即使在累积膜厚超过25μm(25000nm)的状态下,颗粒数仍小于或等于50个。本实施例的情况下,1次批处理中堆积的膜厚为0.15μm(150nm),所以,对于可将颗粒数抑制在小于或等于50个进行成膜的连续批处理次数,现有例为7次左右,实施本发明时达到167次左右。即,利用本发明能大幅度延长反应炉清洗(cleaning)时间的间隔,大幅度降低反应炉的清洗频率。 
通过引用包括说明书、权利要求书、附图及摘要的2003年9月19日申请的日本专利申请第2003-327358号中公开的全部内容,将其包括在本申请内。 
以上示出并说明了各种典型的实施方案,但本发明并不限于上述实施方案。所以,本发明的范围仅由权利要求进行限定。 
产业上的可利用性 
根据以上说明,利用本发明的优选实施方案,能发挥以下优异的效果:能在成膜处理前使反应炉内生成的堆积膜强制发生龟裂,并排出伴随龟裂产生的微粒,由此能抑制成膜处理时微粒的产生,进行高质量的成膜处理;而且,由于可以在堆积膜脱落前实施反应炉的清洗,因此延长了清洗时间的间隔,提高保养性,同时提高运转率;与以往相比不延长处理时间等。 
所以,本发明特别适用于具有利用CVD法的成膜工序的半导体装置制造方法及适合实施上述成膜工序的衬底处理装置。 

Claims (19)

1.一种半导体装置的制造方法,其特征在于,该方法包括以下工序:
将衬底装载到反应炉内的工序;
在所述反应炉内,在所述衬底上进行成膜的工序;
将成膜后的所述衬底从所述反应炉内卸载的工序;
卸载所述衬底后,在所述反应炉内没有所述衬底的状态下,并且,在所述反应炉内残留有成膜时形成的堆积膜的状态下,通过被覆所述反应炉地设置在所述反应炉外侧的强制冷却机构,强制冷却所述反应炉,同时对所述反应炉内实施气体清洗的工序。
2.如权利要求1所述的半导体装置的制造方法,其特征在于,在强制冷却所述反应炉内的工序中,以大于3℃/min的降温速率降低所述反应炉内的温度。
3.如权利要求1所述的半导体装置的制造方法,其特征在于,在强制冷却所述反应炉内的工序中,以10℃/min至100℃/min的降温速率降低所述反应炉内的温度。
4.如权利要求1所述的半导体装置的制造方法,其特征在于,在强制冷却所述反应炉内的工序中,以20℃/min至100℃/min的降温速率降低所述反应炉内的温度。
5.如权利要求1~4中任一项所述的半导体装置的制造方法,其特征在于,在强制冷却所述反应炉内的工序中,所述反应炉内的温度降低幅度为成膜温度的34%或34%以上。
6.如权利要求1~4中任一项所述的半导体装置的制造方法,其特征在于,在强制冷却所述反应炉内的工序中,所述反应炉内的温度降低幅度为成膜温度的47%或47%以上。
7.如权利要求1~4中任一项所述的半导体装置的制造方法,其特征在于,在强制冷却所述反应炉内的工序中,所述反应炉内的温度降低幅度为成膜温度的1/2或1/2以上。
8.如权利要求1所述的半导体装置的制造方法,其特征在于,在强制冷却所述反应炉内的工序中,通过所述强制冷却机构强制冷却所述反应炉内,从而强制地使形成在所述反应炉内的堆积膜发生龟裂,此时,通过对所述反应炉内实施气体清洗,将发生所述龟裂时产生的颗粒排出所述反应炉外。
9.如权利要求1所述的半导体装置的制造方法,其特征在于,在强制冷却所述反应炉内的工序中,以至少10L/min或10L/min以上的流量向所述反应炉内供给清洗用气体,对所述反应炉内实施气体清洗。
10.如权利要求1所述的半导体装置的制造方法,其特征在于,在强制冷却所述反应炉内的工序中,以至少20L/min或20L/min以上的流量向所述反应炉内供给清洗用气体,对所述反应炉内实施气体清洗。
11.如权利要求1所述的半导体装置的制造方法,其特征在于,在卸载所述衬底的工序之后、强制冷却所述反应炉内的工序之前,还进行在所述反应炉内没有所述衬底的状态下将所述反应炉内的温度升高至比成膜温度高的温度的工序。
12.如权利要求1所述的半导体装置的制造方法,所述方法具有在装载所述衬底的工序之前将所述衬底装载在支撑体上的工序,
装载所述衬底的工序在所述衬底支撑在所述支撑体上的状态下进行,
在所述衬底上进行成膜的工序在所述衬底支撑在所述支撑体上的状态下进行,
卸载所述衬底的工序在成膜后的所述衬底支撑在所述支撑体上的状态下进行,
在卸载所述衬底的工序后,还具有用所述支撑体取下成膜后的所述衬底的工序,和将随后成膜的衬底装载到所述支撑体上的工序,
强制冷却所述反应炉内的工序与将成膜后的所述衬底从所述支撑体上取下的工序和/或将随后成膜的衬底装载到所述支撑体上的工序并行进行。
13.如权利要求1所述的半导体装置的制造方法,其特征在于,在所述衬底上进行成膜的工序中,在所述衬底上形成氮化硅膜。
14.一种半导体装置的制造方法,其特征在于,该方法包括以下工序:
将衬底装载到反应炉内的工序;
在所述反应炉内,在所述衬底上进行成膜的工序;
将成膜后的所述衬底从所述反应炉内卸载的工序;
卸载所述衬底后,在所述反应炉内没有所述衬底的状态下,并且,在所述反应炉内残留有成膜时形成的堆积膜的状态下,通过被覆所述反应炉地设置在所述反应炉外侧的强制冷却机构,强制冷却所述反应炉内,同时,在大气压状态下对所述反应炉内实施气体清洗的工序。
15.一种半导体装置的制造方法,其特征在于,该方法包括以下工序:
将衬底装载到反应炉内的工序;
在所述反应炉内,在所述衬底上进行成膜的工序;
将成膜后的所述衬底从所述反应炉内卸载的工序;
卸载所述衬底后,在所述反应炉内没有所述衬底的状态下,并且,在所述反应炉内残留有成膜时形成的堆积膜的状态下,通过被覆所述反应炉地设置在所述反应炉外侧的强制冷却机构,强制冷却所述反应炉内,同时,向所述反应炉内供给气体,并使用与在所述衬底上进行成膜的工序中使用的排气通路不同的排气通路排气,由此对所述反应炉内实施气体清洗的工序。
16.一种半导体装置的制造方法,其特征在于,该方法包括以下工序:
将衬底装载到反应炉内的工序;
在所述反应炉内,在所述衬底上进行成膜的工序;
将成膜后的所述衬底从所述反应炉内卸载的工序;
卸载所述衬底后,在所述反应炉内没有所述衬底的状态下,并且,在所述反应炉内残留有成膜时形成的堆积膜的状态下,将所述反应炉内的温度升高至比成膜温度高的温度的工序,
然后,在所述反应炉内没有所述衬底的状态下,并且,在所述反应炉内残留有成膜时形成的堆积膜的状态下,通过被覆所述反应炉地设置在所述反应炉外侧的强制冷却机构,强制冷却所述反应炉内,将所述反应炉内的温度降至比所述成膜温度低的温度,同时对所述反应炉内实施气体清洗的工序。
17.如权利要求16所述的半导体装置的制造方法,其特征在于,从使所述反应炉内的温度升高至使其降低为止的所述反应炉内的温度降低幅度为所述成膜温度的1/2或1/2以上。
18.如权利要求16所述的半导体装置的制造方法,其特征在于,从使所述反应炉内的温度升高至使其降低为止的所述反应炉内的温度降低速率为10℃/min至100℃/min,所述反应炉内的温度降低幅度为400℃以上。
19.一种衬底处理装置,其特征在于,该装置具有以下部件:
对衬底进行成膜的反应炉;
向所述反应炉内供给成膜气体的成膜气体供给通路;
向所述反应炉内供给清洗用气体的清洗用气体供给通路;
排出所述反应炉内气体的排气通路;
将所述衬底装载到所述反应炉内/从所述反应炉内卸载所述衬底的传输装置;
覆盖所述反应炉地设置在所述反应炉外侧的强制冷却所述反应炉内的强制冷却装置;
控制强制冷却装置及清洗用气体供给通路的控制装置,在从所述反应炉中卸载衬底后,在所述反应炉内没有所述衬底的状态下,并且,在所述反应炉内残留有成膜时形成的堆积膜的状态下,将所述反应炉内强制冷却,同时对所述反应炉内实施气体清洗。
CN2004800203975A2003-09-192004-09-17半导体装置的制造方法及衬底处理装置Expired - LifetimeCN1823404B (zh)

Applications Claiming Priority (3)

Application NumberPriority DateFiling DateTitle
JP327358/20032003-09-19
JP20033273582003-09-19
PCT/JP2004/013678WO2005029566A1 (ja)2003-09-192004-09-17半導体装置の製造方法および基板処理装置

Related Child Applications (2)

Application NumberTitlePriority DateFiling Date
CN2010102382197ADivisionCN101914760B (zh)2003-09-192004-09-17半导体装置的制造方法及衬底处理装置
CN2008101823180ADivisionCN101429649B (zh)2003-09-192004-09-17半导体装置的制造方法及衬底处理装置

Publications (2)

Publication NumberPublication Date
CN1823404A CN1823404A (zh)2006-08-23
CN1823404Btrue CN1823404B (zh)2012-08-29

Family

ID=34372869

Family Applications (3)

Application NumberTitlePriority DateFiling Date
CN2004800203975AExpired - LifetimeCN1823404B (zh)2003-09-192004-09-17半导体装置的制造方法及衬底处理装置
CN2010102382197AExpired - LifetimeCN101914760B (zh)2003-09-192004-09-17半导体装置的制造方法及衬底处理装置
CN2008101823180AExpired - LifetimeCN101429649B (zh)2003-09-192004-09-17半导体装置的制造方法及衬底处理装置

Family Applications After (2)

Application NumberTitlePriority DateFiling Date
CN2010102382197AExpired - LifetimeCN101914760B (zh)2003-09-192004-09-17半导体装置的制造方法及衬底处理装置
CN2008101823180AExpired - LifetimeCN101429649B (zh)2003-09-192004-09-17半导体装置的制造方法及衬底处理装置

Country Status (6)

CountryLink
US (3)US7955991B2 (zh)
JP (4)JPWO2005029566A1 (zh)
KR (3)KR100943588B1 (zh)
CN (3)CN1823404B (zh)
TW (3)TWI360179B (zh)
WO (1)WO2005029566A1 (zh)

Families Citing this family (427)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
CN1823404B (zh)*2003-09-192012-08-29株式会社日立国际电气半导体装置的制造方法及衬底处理装置
JP5028957B2 (ja)*2005-12-282012-09-19東京エレクトロン株式会社成膜方法及び成膜装置並びに記憶媒体
JP4844261B2 (ja)*2006-06-292011-12-28東京エレクトロン株式会社成膜方法及び成膜装置並びに記憶媒体
US8986456B2 (en)2006-10-102015-03-24Asm America, Inc.Precursor delivery system
WO2008100917A1 (en)*2007-02-162008-08-21Caracal, Inc.Epitaxial growth system for fast heating and cooling
JP2009272367A (ja)*2008-05-012009-11-19Hitachi Kokusai Electric Inc基板処理装置
JP2010093023A (ja)*2008-10-072010-04-22Hitachi Kokusai Electric Inc半導体装置の製造方法
US10378106B2 (en)2008-11-142019-08-13Asm Ip Holding B.V.Method of forming insulation film by modified PEALD
JP2010140947A (ja)*2008-12-092010-06-24Hitachi Kokusai Electric Inc半導体装置の製造方法及び基板処理装置
US8115235B2 (en)*2009-02-202012-02-14Intel CorporationModulation-doped halo in quantum well field-effect transistors, apparatus made therewith, and methods of using same
US9394608B2 (en)2009-04-062016-07-19Asm America, Inc.Semiconductor processing reactor and components thereof
US8883270B2 (en)2009-08-142014-11-11Asm America, Inc.Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en)2009-08-142014-08-12Asm America, Inc.Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en)2010-05-072014-11-04Asm America, Inc.Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011066106A (ja)*2009-09-162011-03-31Hitachi Kokusai Electric Inc半導体装置の製造方法及び基板処理装置
US9312155B2 (en)2011-06-062016-04-12Asm Japan K.K.High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en)2011-06-222017-10-17Asm Japan K.K.Method for positioning wafers in multiple wafer transport
US10364496B2 (en)2011-06-272019-07-30Asm Ip Holding B.V.Dual section module having shared and unshared mass flow controllers
US10854498B2 (en)2011-07-152020-12-01Asm Ip Holding B.V.Wafer-supporting device and method for producing same
US20130023129A1 (en)2011-07-202013-01-24Asm America, Inc.Pressure transmitter for a semiconductor processing environment
JP5724713B2 (ja)*2011-07-222015-05-27東京エレクトロン株式会社熱処理装置
US9096931B2 (en)2011-10-272015-08-04Asm America, IncDeposition valve assembly and method of heating the same
US9341296B2 (en)2011-10-272016-05-17Asm America, Inc.Heater jacket for a fluid line
US9017481B1 (en)2011-10-282015-04-28Asm America, Inc.Process feed management for semiconductor substrate processing
US9005539B2 (en)2011-11-232015-04-14Asm Ip Holding B.V.Chamber sealing member
US9167625B2 (en)2011-11-232015-10-20Asm Ip Holding B.V.Radiation shielding for a substrate holder
US9202727B2 (en)2012-03-022015-12-01ASM IP HoldingSusceptor heater shim
US8946830B2 (en)2012-04-042015-02-03Asm Ip Holdings B.V.Metal oxide protective layer for a semiconductor device
TWI622664B (zh)2012-05-022018-05-01Asm智慧財產控股公司相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en)2012-05-072014-05-20Asm Ip Holdings B.V.Semiconductor device dielectric interface layer
US8933375B2 (en)2012-06-272015-01-13Asm Ip Holding B.V.Susceptor heater and method of heating a substrate
US9558931B2 (en)2012-07-272017-01-31Asm Ip Holding B.V.System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en)2012-07-312015-08-25Asm Ip Holding B.V.Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en)2012-08-282015-10-27Asm Ip Holding B.V.Systems and methods for mass flow controller verification
US9659799B2 (en)2012-08-282017-05-23Asm Ip Holding B.V.Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en)2012-09-122015-05-05Asm Ip Holdings B.V.Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en)2012-09-262016-04-26Asm Ip Holding B.V.Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en)2012-10-122020-07-14Asm Ip Holdings B.V.Semiconductor reaction chamber showerhead
US9640416B2 (en)2012-12-262017-05-02Asm Ip Holding B.V.Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en)2013-02-012016-12-29Asm Ip Holding B.V.System for treatment of deposition reactor
JP5546654B2 (ja)*2013-02-012014-07-09株式会社日立国際電気基板処理装置、半導体製造方法、基板処理方法、及び異物除去方法
US8894870B2 (en)2013-02-012014-11-25Asm Ip Holding B.V.Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en)2013-03-082016-11-01Asm Ip Holding B.V.Pulsed remote plasma method and system
US9589770B2 (en)2013-03-082017-03-07Asm Ip Holding B.V.Method and systems for in-situ formation of intermediate reactive species
JP2014199856A (ja)*2013-03-292014-10-23東京エレクトロン株式会社縦型熱処理装置の運転方法及び記憶媒体並びに縦型熱処理装置
KR101552496B1 (ko)*2013-04-192015-09-11도쿄엘렉트론가부시키가이샤기판 처리 장치 및 기판 처리 방법
US8993054B2 (en)2013-07-122015-03-31Asm Ip Holding B.V.Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en)2013-07-222015-04-28Asm Ip Holding B.V.Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en)2013-08-142016-07-19Asm Ip Holding B.V.Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en)2013-08-142017-10-17Asm Ip Holding B.V.Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en)2013-09-272016-01-19Asm Ip Holding B.V.Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en)2013-10-092017-01-31ASM IP Holding B.VMethod for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en)2013-11-132017-03-28Asm Ip Holding B.V.Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en)2013-11-262019-01-15Asm Ip Holding B.V.Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5801374B2 (ja)*2013-12-272015-10-28株式会社日立国際電気半導体装置の製造方法、プログラム、及び基板処理装置
JP5848788B2 (ja)*2014-02-122016-01-27株式会社日立国際電気基板処理装置、半導体製造方法、基板処理方法
US10683571B2 (en)2014-02-252020-06-16Asm Ip Holding B.V.Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en)2014-03-182016-09-20Asm Ip Holding B.V.Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en)2014-03-182019-01-01Asm Ip Holding B.V.Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en)2014-03-192021-05-25Asm Ip Holding B.V.Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en)2014-04-242016-08-02ASM IP Holding B.VLockout tagout for semiconductor vacuum valve
CN104296529B (zh)*2014-06-272016-06-15长沙矿冶研究院有限责任公司可用于ito靶材烧结的气氛钟罩炉
US10858737B2 (en)2014-07-282020-12-08Asm Ip Holding B.V.Showerhead assembly and components thereof
US9543180B2 (en)2014-08-012017-01-10Asm Ip Holding B.V.Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en)2014-08-212018-02-13Asm Ip Holding B.V.Method and system for in situ formation of gas-phase compounds
US9657845B2 (en)2014-10-072017-05-23Asm Ip Holding B.V.Variable conductance gas distribution apparatus and method
US10941490B2 (en)2014-10-072021-03-09Asm Ip Holding B.V.Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko)2014-11-192021-09-09에이에스엠 아이피 홀딩 비.브이.박막 증착 방법
GB201421151D0 (en)*2014-11-282015-01-14Spts Technologies LtdMethod of degassing
US10375901B2 (en)2014-12-092019-08-13Mtd Products IncBlower/vacuum
KR102263121B1 (ko)2014-12-222021-06-09에이에스엠 아이피 홀딩 비.브이.반도체 소자 및 그 제조 방법
US9478415B2 (en)2015-02-132016-10-25Asm Ip Holding B.V.Method for forming film having low resistance and shallow junction depth
US10529542B2 (en)2015-03-112020-01-07Asm Ip Holdings B.V.Cross-flow reactor and method
US10276355B2 (en)2015-03-122019-04-30Asm Ip Holding B.V.Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en)2015-06-262019-10-29Asm Ip Holding B.V.Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en)2015-07-072020-03-24Asm Ip Holding B.V.Magnetic susceptor to baseplate seal
US10043661B2 (en)2015-07-132018-08-07Asm Ip Holding B.V.Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en)2015-07-132018-02-20Asm Ip Holding B.V.Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en)2015-07-242018-09-25Asm Ip Holding B.V.Formation of boron-doped titanium metal films with high work function
US10087525B2 (en)2015-08-042018-10-02Asm Ip Holding B.V.Variable gap hard stop design
US9647114B2 (en)2015-08-142017-05-09Asm Ip Holding B.V.Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en)2015-08-252017-07-18Asm Ip Holding B.V.Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en)2015-09-292018-05-01Asm Ip Holding B.V.Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en)2015-10-152018-03-06Asm Ip Holding B.V.Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en)2015-10-212019-02-19Asm Ip Holding B.V.NbMC layers
US10322384B2 (en)2015-11-092019-06-18Asm Ip Holding B.V.Counter flow mixer for process chamber
US9455138B1 (en)2015-11-102016-09-27Asm Ip Holding B.V.Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en)2015-12-012018-02-27Asm Ip Holding B.V.Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en)2015-12-212017-03-28Asm Ip Holding B.V.Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en)2015-12-282017-04-18Asm Ip Holding B.V.Continuous process incorporating atomic layer etching
US9735024B2 (en)2015-12-282017-08-15Asm Ip Holding B.V.Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en)2015-12-292021-10-05Asm Ip Holding B.V.Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en)2016-02-192019-11-05Asm Ip Holding B.V.Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en)2016-02-192017-09-05Asm Ip Holding B.V.Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en)2016-02-192020-01-07Asm Ip Holding B.V.Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en)2016-03-092019-12-10Asm Ip Holding B.V.Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en)2016-03-182019-07-09Asm Ip Holding B.V.Aligned carbon nanotubes
US9892913B2 (en)2016-03-242018-02-13Asm Ip Holding B.V.Radial and thickness control via biased multi-port injection settings
US10865475B2 (en)2016-04-212020-12-15Asm Ip Holding B.V.Deposition of metal borides and silicides
US10087522B2 (en)2016-04-212018-10-02Asm Ip Holding B.V.Deposition of metal borides
US10190213B2 (en)2016-04-212019-01-29Asm Ip Holding B.V.Deposition of metal borides
US10367080B2 (en)2016-05-022019-07-30Asm Ip Holding B.V.Method of forming a germanium oxynitride film
US10032628B2 (en)2016-05-022018-07-24Asm Ip Holding B.V.Source/drain performance through conformal solid state doping
KR102592471B1 (ko)2016-05-172023-10-20에이에스엠 아이피 홀딩 비.브이.금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en)2016-05-252022-09-27Asm Ip Holding B.V.Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en)2016-06-282019-08-20Asm Ip Holding B.V.Formation of epitaxial layers via dislocation filtering
US9859151B1 (en)2016-07-082018-01-02Asm Ip Holding B.V.Selective film deposition method to form air gaps
US10612137B2 (en)2016-07-082020-04-07Asm Ip Holdings B.V.Organic reactants for atomic layer deposition
US9793135B1 (en)2016-07-142017-10-17ASM IP Holding B.VMethod of cyclic dry etching using etchant film
US10714385B2 (en)2016-07-192020-07-14Asm Ip Holding B.V.Selective deposition of tungsten
KR102354490B1 (ko)2016-07-272022-01-21에이에스엠 아이피 홀딩 비.브이.기판 처리 방법
KR102532607B1 (ko)2016-07-282023-05-15에이에스엠 아이피 홀딩 비.브이.기판 가공 장치 및 그 동작 방법
US9887082B1 (en)2016-07-282018-02-06Asm Ip Holding B.V.Method and apparatus for filling a gap
US9812320B1 (en)2016-07-282017-11-07Asm Ip Holding B.V.Method and apparatus for filling a gap
US10395919B2 (en)2016-07-282019-08-27Asm Ip Holding B.V.Method and apparatus for filling a gap
US10177025B2 (en)2016-07-282019-01-08Asm Ip Holding B.V.Method and apparatus for filling a gap
US10090316B2 (en)2016-09-012018-10-02Asm Ip Holding B.V.3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en)2016-10-132019-09-10Asm Ip Holding B.V.Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en)2016-10-262020-05-05Asm Ip Holdings B.V.Methods for thermally calibrating reaction chambers
US11532757B2 (en)2016-10-272022-12-20Asm Ip Holding B.V.Deposition of charge trapping layers
US10714350B2 (en)2016-11-012020-07-14ASM IP Holdings, B.V.Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en)2016-11-012020-05-05Asm Ip Holdings B.V.Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en)2016-11-012019-10-08Asm Ip Holding B.V.Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en)2016-11-012019-03-12Asm Ip Holding B.V.Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en)2016-11-072018-11-20Asm Ip Holding B.V.Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko)2016-11-152023-06-21에이에스엠 아이피 홀딩 비.브이.기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en)2016-11-282019-07-02Asm Ip Holding B.V.Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102762543B1 (ko)2016-12-142025-02-05에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
US11581186B2 (en)2016-12-152023-02-14Asm Ip Holding B.V.Sequential infiltration synthesis apparatus
US9916980B1 (en)2016-12-152018-03-13Asm Ip Holding B.V.Method of forming a structure on a substrate
US11447861B2 (en)2016-12-152022-09-20Asm Ip Holding B.V.Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko)2016-12-192024-08-28에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
US10269558B2 (en)2016-12-222019-04-23Asm Ip Holding B.V.Method of forming a structure on a substrate
US10867788B2 (en)2016-12-282020-12-15Asm Ip Holding B.V.Method of forming a structure on a substrate
US11390950B2 (en)2017-01-102022-07-19Asm Ip Holding B.V.Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en)2017-02-092020-05-19Asm Ip Holding B.V.Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en)2017-02-152019-11-05Asm Ip Holding B.V.Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en)2017-03-292020-01-07Asm Ip Holdings B.V.Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en)2017-03-292019-05-07Asm Ip Holding B.V.Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en)2017-03-312018-10-16Asm Ip Holding B.V.Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en)2017-04-072018-10-16Asm Ip Holding B.V.Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko)2017-04-252022-10-21에이에스엠 아이피 홀딩 비.브이.박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en)2017-05-082021-01-12Asm Ip Holding B.V.Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en)2017-05-082020-09-08Asm Ip Holdings B.V.Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en)2017-05-082019-10-15Asm Ip Holding B.V.Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en)2017-05-312019-12-10Asm Ip Holding B.V.Method of atomic layer etching using hydrogen plasma
US10886123B2 (en)2017-06-022021-01-05Asm Ip Holding B.V.Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en)2017-06-202024-07-16Asm Ip Holding B.V.Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en)2017-06-282022-04-19Asm Ip Holding B.V.Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en)2017-07-052020-06-16Asm Ip Holdings B.V.Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko)2017-07-182019-01-28에이에스엠 아이피 홀딩 비.브이.반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en)2017-07-192021-05-25Asm Ip Holding B.V.Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en)2017-07-192022-06-28Asm Ip Holding B.V.Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en)2017-07-192020-01-21Asm Ip Holding B.V.Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en)2017-07-262020-03-31Asm Ip Holding B.V.Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en)2017-07-262019-06-04Asm Ip Holding B.V.Method of depositing film by PEALD using negative bias
US10590535B2 (en)2017-07-262020-03-17Asm Ip Holdings B.V.Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI815813B (zh)2017-08-042023-09-21荷蘭商Asm智慧財產控股公司用於分配反應腔內氣體的噴頭總成
US10770336B2 (en)2017-08-082020-09-08Asm Ip Holding B.V.Substrate lift mechanism and reactor including same
US10692741B2 (en)2017-08-082020-06-23Asm Ip Holdings B.V.Radiation shield
US10249524B2 (en)2017-08-092019-04-02Asm Ip Holding B.V.Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en)2017-08-092021-10-05Asm Ip Holding B.V.Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en)2017-08-092023-09-26Asm Ip Holding B.V.Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en)2017-08-222019-03-19ASM IP Holding B.V..Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en)2017-08-242020-10-27Asm Ip Holding B.V.Heater electrical connector and adapter
US11830730B2 (en)2017-08-292023-11-28Asm Ip Holding B.V.Layer forming method and apparatus
US11056344B2 (en)2017-08-302021-07-06Asm Ip Holding B.V.Layer forming method
US11295980B2 (en)2017-08-302022-04-05Asm Ip Holding B.V.Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko)2017-08-302023-01-26에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
KR102401446B1 (ko)2017-08-312022-05-24에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
US10607895B2 (en)2017-09-182020-03-31Asm Ip Holdings B.V.Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko)2017-09-212024-01-29에이에스엠 아이피 홀딩 비.브이.침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en)2017-09-222020-11-24Asm Ip Holding B.V.Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en)2017-09-282020-05-19Asm Ip Holdings B.V.Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en)2017-10-052019-09-03Asm Ip Holding B.V.Method for selectively depositing a metallic film on a substrate
US10319588B2 (en)2017-10-102019-06-11Asm Ip Holding B.V.Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en)2017-10-302021-02-16Asm Ip Holding B.V.Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en)2017-11-162021-02-02Asm Ip Holding B.V.Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko)2017-11-162022-09-14에이에스엠 아이피 홀딩 비.브이.기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en)2017-11-242021-06-01Asm Ip Holding B.V.Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh)2017-11-272022-04-12阿斯莫Ip控股公司包括洁净迷你环境的装置
WO2019103613A1 (en)2017-11-272019-05-31Asm Ip Holding B.V.A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en)2017-12-052019-05-14Asm Ip Holding B.V.Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en)2018-01-162020-12-22Asm Ip Holding B. V.Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko)2018-01-192024-08-14에이에스엠 아이피 홀딩 비.브이.플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh)2018-01-192023-04-21荷蘭商Asm 智慧財產控股公司沈積方法
USD903477S1 (en)2018-01-242020-12-01Asm Ip Holdings B.V.Metal clamp
US11018047B2 (en)2018-01-252021-05-25Asm Ip Holding B.V.Hybrid lift pin
USD880437S1 (en)2018-02-012020-04-07Asm Ip Holding B.V.Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en)2018-02-012020-01-14Asm Ip Holdings B.V.Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en)2018-02-062021-08-03Asm Ip Holding B.V.Method of post-deposition treatment for silicon oxide film
US10896820B2 (en)2018-02-142021-01-19Asm Ip Holding B.V.Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en)2018-02-142019-08-22Asm Ip Holding B.V.A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en)2018-02-152020-08-04Asm Ip Holding B.V.Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko)2018-02-202024-02-13에이에스엠 아이피 홀딩 비.브이.기판 처리 방법 및 장치
US10658181B2 (en)2018-02-202020-05-19Asm Ip Holding B.V.Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en)2018-02-232021-04-13Asm Ip Holding B.V.Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
WO2019163295A1 (ja)*2018-02-232019-08-29株式会社Kokusai Electricクリーニング方法、半導体装置の製造方法、基板処理装置、及びプログラム
US11473195B2 (en)2018-03-012022-10-18Asm Ip Holding B.V.Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en)2018-03-092023-04-18Asm Ip Holding B.V.Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en)2018-03-162021-09-07Asm Ip Holding B.V.Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko)2018-03-272024-03-11에이에스엠 아이피 홀딩 비.브이.기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en)2018-03-292019-12-17Asm Ip Holding B.V.Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en)2018-03-292021-08-10Asm Ip Holding B.V.Substrate rack and a substrate processing system and method
US11230766B2 (en)2018-03-292022-01-25Asm Ip Holding B.V.Substrate processing apparatus and method
KR102501472B1 (ko)2018-03-302023-02-20에이에스엠 아이피 홀딩 비.브이.기판 처리 방법
KR102600229B1 (ko)2018-04-092023-11-10에이에스엠 아이피 홀딩 비.브이.기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
TWI811348B (zh)2018-05-082023-08-11荷蘭商Asm 智慧財產控股公司藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en)2018-05-082024-07-02Asm Ip Holding B.V.Thin film forming method
US12272527B2 (en)2018-05-092025-04-08Asm Ip Holding B.V.Apparatus for use with hydrogen radicals and method of using same
KR20190129718A (ko)2018-05-112019-11-20에이에스엠 아이피 홀딩 비.브이.기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko)2018-05-282023-10-31에이에스엠 아이피 홀딩 비.브이.기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en)2018-06-042023-08-08Asm Ip Holding B.V.Gas distribution system and reactor system including same
TWI840362B (zh)2018-06-042024-05-01荷蘭商Asm Ip私人控股有限公司水氣降低的晶圓處置腔室
US11286562B2 (en)2018-06-082022-03-29Asm Ip Holding B.V.Gas-phase chemical reactor and method of using same
KR102568797B1 (ko)2018-06-212023-08-21에이에스엠 아이피 홀딩 비.브이.기판 처리 시스템
US10797133B2 (en)2018-06-212020-10-06Asm Ip Holding B.V.Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI873894B (zh)2018-06-272025-02-21荷蘭商Asm Ip私人控股有限公司用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR102854019B1 (ko)2018-06-272025-09-02에이에스엠 아이피 홀딩 비.브이.금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en)2018-06-292020-04-07ASM IP Holding, B.V.Temperature-controlled flange and reactor system including same
KR102686758B1 (ko)2018-06-292024-07-18에이에스엠 아이피 홀딩 비.브이.박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en)2018-07-032019-08-20Asm Ip Holding B.V.Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en)2018-07-032020-08-25Asm Ip Holding B.V.Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en)2018-07-162020-09-08Asm Ip Holding B.V.Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en)2018-07-262019-11-19Asm Ip Holding B.V.Method for forming thermally stable organosilicon polymer film
US11053591B2 (en)2018-08-062021-07-06Asm Ip Holding B.V.Multi-port gas injection system and reactor system including same
US10883175B2 (en)2018-08-092021-01-05Asm Ip Holding B.V.Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en)2018-08-162020-11-10Asm Ip Holding B.V.Gas distribution device for a wafer processing apparatus
US11430674B2 (en)2018-08-222022-08-30Asm Ip Holding B.V.Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en)2018-09-112021-06-01Asm Ip Holding B.V.Substrate processing apparatus and method
KR102707956B1 (ko)2018-09-112024-09-19에이에스엠 아이피 홀딩 비.브이.박막 증착 방법
US11049751B2 (en)2018-09-142021-06-29Asm Ip Holding B.V.Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102349037B1 (ko)*2018-09-172022-01-10주식회사 원익아이피에스웨이퍼 공정용 리액터의 가스 제어 장치
CN110970344B (zh)2018-10-012024-10-25Asmip控股有限公司衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en)2018-10-032022-01-25Asm Ip Holding B.V.Substrate processing apparatus and method
KR102592699B1 (ko)2018-10-082023-10-23에이에스엠 아이피 홀딩 비.브이.기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en)2018-10-112020-11-24Asm Ip Holding B.V.Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en)2018-10-162020-10-20Asm Ip Holding B.V.Method for etching a carbon-containing feature
KR102605121B1 (ko)2018-10-192023-11-23에이에스엠 아이피 홀딩 비.브이.기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko)2018-10-192023-06-21에이에스엠 아이피 홀딩 비.브이.기판 처리 장치 및 기판 처리 방법
USD948463S1 (en)2018-10-242022-04-12Asm Ip Holding B.V.Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en)2018-10-252019-08-13Asm Ip Holding B.V.Methods for forming a silicon nitride film
US12378665B2 (en)2018-10-262025-08-05Asm Ip Holding B.V.High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en)2018-10-312021-08-10Asm Ip Holding B.V.Substrate processing apparatus for processing substrates
KR102748291B1 (ko)2018-11-022024-12-31에이에스엠 아이피 홀딩 비.브이.기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en)2018-11-062023-02-07Asm Ip Holding B.V.Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en)2018-11-072021-06-08Asm Ip Holding B.V.Methods for depositing a boron doped silicon germanium film
US10818758B2 (en)2018-11-162020-10-27Asm Ip Holding B.V.Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en)2018-11-162020-11-24Asm Ip Holding B.V.Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en)2018-11-262020-02-11Asm Ip Holding B.V.Method of forming oxynitride film
US12040199B2 (en)2018-11-282024-07-16Asm Ip Holding B.V.Substrate processing apparatus for processing substrates
US11217444B2 (en)2018-11-302022-01-04Asm Ip Holding B.V.Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko)2018-12-042024-02-13에이에스엠 아이피 홀딩 비.브이.기판 처리 장치를 세정하는 방법
US11158513B2 (en)2018-12-132021-10-26Asm Ip Holding B.V.Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TWI874340B (zh)2018-12-142025-03-01荷蘭商Asm Ip私人控股有限公司形成裝置結構之方法、其所形成之結構及施行其之系統
TWI866480B (zh)2019-01-172024-12-11荷蘭商Asm Ip 私人控股有限公司藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102727227B1 (ko)2019-01-222024-11-07에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
CN111524788B (zh)2019-02-012023-11-24Asm Ip私人控股有限公司氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko)2019-02-202024-01-16에이에스엠 아이피 홀딩 비.브이.처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh)2019-02-202024-04-11荷蘭商Asm Ip私人控股有限公司用於3d nand應用中之插塞填充沉積之設備及方法
TWI873122B (zh)2019-02-202025-02-21荷蘭商Asm Ip私人控股有限公司填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh)2019-02-202024-06-21荷蘭商Asm Ip私人控股有限公司用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh)2019-02-222024-05-21荷蘭商Asm Ip私人控股有限公司基材處理設備及處理基材之方法
US11742198B2 (en)2019-03-082023-08-29Asm Ip Holding B.V.Structure including SiOCN layer and method of forming same
KR102782593B1 (ko)2019-03-082025-03-14에이에스엠 아이피 홀딩 비.브이.SiOC 층을 포함한 구조체 및 이의 형성 방법
KR102858005B1 (ko)2019-03-082025-09-09에이에스엠 아이피 홀딩 비.브이.실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja)2019-03-282020-10-08エーエスエム・アイピー・ホールディング・ベー・フェードアオープナーおよびドアオープナーが提供される基材処理装置
KR102809999B1 (ko)2019-04-012025-05-19에이에스엠 아이피 홀딩 비.브이.반도체 소자를 제조하는 방법
KR20200123380A (ko)2019-04-192020-10-29에이에스엠 아이피 홀딩 비.브이.층 형성 방법 및 장치
KR20200125453A (ko)2019-04-242020-11-04에이에스엠 아이피 홀딩 비.브이.기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko)2019-05-072020-11-18에이에스엠 아이피 홀딩 비.브이.딥 튜브가 있는 화학물질 공급원 용기
US11289326B2 (en)2019-05-072022-03-29Asm Ip Holding B.V.Method for reforming amorphous carbon polymer film
KR20200130652A (ko)2019-05-102020-11-19에이에스엠 아이피 홀딩 비.브이.표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7598201B2 (ja)2019-05-162024-12-11エーエスエム・アイピー・ホールディング・ベー・フェーウェハボートハンドリング装置、縦型バッチ炉および方法
JP7612342B2 (ja)2019-05-162025-01-14エーエスエム・アイピー・ホールディング・ベー・フェーウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en)2019-05-172022-04-05Asm Ip Holding B.V.Susceptor shaft
USD975665S1 (en)2019-05-172023-01-17Asm Ip Holding B.V.Susceptor shaft
USD935572S1 (en)2019-05-242021-11-09Asm Ip Holding B.V.Gas channel plate
USD922229S1 (en)2019-06-052021-06-15Asm Ip Holding B.V.Device for controlling a temperature of a gas supply unit
KR20200141002A (ko)2019-06-062020-12-17에이에스엠 아이피 홀딩 비.브이.배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200141931A (ko)2019-06-102020-12-21에이에스엠 아이피 홀딩 비.브이.석영 에피택셜 챔버를 세정하는 방법
KR20200143254A (ko)2019-06-112020-12-23에이에스엠 아이피 홀딩 비.브이.개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en)2019-06-142022-03-01Asm Ip Holding B.V.Shower plate
USD931978S1 (en)2019-06-272021-09-28Asm Ip Holding B.V.Showerhead vacuum transport
KR20210005515A (ko)2019-07-032021-01-14에이에스엠 아이피 홀딩 비.브이.기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja)2019-07-092024-06-13エーエスエム・アイピー・ホールディング・ベー・フェー同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh)2019-07-102021-01-12Asm Ip私人控股有限公司基板支撑组件及包括其的基板处理装置
CN110310909B (zh)*2019-07-152021-12-17北京北方华创微电子装备有限公司冷却装置及热处理装置
KR20210010307A (ko)2019-07-162021-01-27에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
KR102860110B1 (ko)2019-07-172025-09-16에이에스엠 아이피 홀딩 비.브이.실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko)2019-07-172021-01-28에이에스엠 아이피 홀딩 비.브이.라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en)2019-07-182023-05-09Asm Ip Holding B.V.Method of forming structures using a neutral beam
TWI839544B (zh)2019-07-192024-04-21荷蘭商Asm Ip私人控股有限公司形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko)2019-07-192021-01-28에이에스엠 아이피 홀딩 비.브이.토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI851767B (zh)2019-07-292024-08-11荷蘭商Asm Ip私人控股有限公司用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh)2019-07-302021-02-02Asm Ip私人控股有限公司基板处理设备
CN112309900A (zh)2019-07-302021-02-02Asm Ip私人控股有限公司基板处理设备
US12169361B2 (en)2019-07-302024-12-17Asm Ip Holding B.V.Substrate processing apparatus and method
US11587815B2 (en)2019-07-312023-02-21Asm Ip Holding B.V.Vertical batch furnace assembly
US11587814B2 (en)2019-07-312023-02-21Asm Ip Holding B.V.Vertical batch furnace assembly
US11227782B2 (en)2019-07-312022-01-18Asm Ip Holding B.V.Vertical batch furnace assembly
CN112323048B (zh)2019-08-052024-02-09Asm Ip私人控股有限公司用于化学源容器的液位传感器
CN112342526A (zh)2019-08-092021-02-09Asm Ip私人控股有限公司包括冷却装置的加热器组件及其使用方法
US11631571B2 (en)2019-08-122023-04-18Kurt J. Lesker CompanyUltra high purity conditions for atomic scale processing
USD965044S1 (en)2019-08-192022-09-27Asm Ip Holding B.V.Susceptor shaft
USD965524S1 (en)2019-08-192022-10-04Asm Ip Holding B.V.Susceptor support
JP2021031769A (ja)2019-08-212021-03-01エーエスエム アイピー ホールディング ビー.ブイ.成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en)2019-08-222021-09-14Asm Ip Holding B.V.Gas distributor
USD940837S1 (en)2019-08-222022-01-11Asm Ip Holding B.V.Electrode
KR20210024423A (ko)2019-08-222021-03-05에이에스엠 아이피 홀딩 비.브이.홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en)2019-08-222023-02-28Asm Ip Holding B.V.Insulator
USD949319S1 (en)2019-08-222022-04-19Asm Ip Holding B.V.Exhaust duct
KR20210024420A (ko)2019-08-232021-03-05에이에스엠 아이피 홀딩 비.브이.비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en)2019-08-232022-03-29Asm Ip Holding B.V.Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102806450B1 (ko)2019-09-042025-05-12에이에스엠 아이피 홀딩 비.브이.희생 캡핑 층을 이용한 선택적 증착 방법
KR102733104B1 (ko)2019-09-052024-11-22에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
CN110643961B (zh)*2019-09-202024-02-06深圳市晶相技术有限公司一种半导体设备的使用方法
US11562901B2 (en)2019-09-252023-01-24Asm Ip Holding B.V.Substrate processing method
CN112593212B (zh)2019-10-022023-12-22Asm Ip私人控股有限公司通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko)2019-10-082021-04-20에이에스엠 아이피 홀딩 비.브이.활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh)2019-10-082024-07-01荷蘭商Asm Ip私人控股有限公司基板處理裝置
TW202128273A (zh)2019-10-082021-08-01荷蘭商Asm Ip私人控股有限公司氣體注入系統、及將材料沉積於反應室內之基板表面上的方法
TWI846966B (zh)2019-10-102024-07-01荷蘭商Asm Ip私人控股有限公司形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en)2019-10-142024-06-11Asm Ip Holding B.V.Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh)2019-10-162024-03-11荷蘭商Asm Ip私人控股有限公司氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en)2019-10-172023-04-25Asm Ip Holding B.V.Methods for selective deposition of doped semiconductor material
KR102845724B1 (ko)2019-10-212025-08-13에이에스엠 아이피 홀딩 비.브이.막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko)2019-10-252021-05-07에이에스엠 아이피 홀딩 비.브이.기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en)2019-10-292023-05-09Asm Ip Holding B.V.Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko)2019-11-052021-05-14에이에스엠 아이피 홀딩 비.브이.도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en)2019-11-152022-11-15Asm Ip Holding B.V.Method for providing a semiconductor device with silicon filled gaps
KR102861314B1 (ko)2019-11-202025-09-17에이에스엠 아이피 홀딩 비.브이.기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en)2019-11-262022-09-20Asm Ip Holding B.V.Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697B (zh)2019-11-262025-07-29Asmip私人控股有限公司基板处理设备
CN112885692B (zh)2019-11-292025-08-15Asmip私人控股有限公司基板处理设备
CN120432376A (zh)2019-11-292025-08-05Asm Ip私人控股有限公司基板处理设备
JP7527928B2 (ja)2019-12-022024-08-05エーエスエム・アイピー・ホールディング・ベー・フェー基板処理装置、基板処理方法
KR20210070898A (ko)2019-12-042021-06-15에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
KR20210078405A (ko)2019-12-172021-06-28에이에스엠 아이피 홀딩 비.브이.바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko)2019-12-192021-06-30에이에스엠 아이피 홀딩 비.브이.기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7730637B2 (ja)2020-01-062025-08-28エーエスエム・アイピー・ホールディング・ベー・フェーガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP7636892B2 (ja)2020-01-062025-02-27エーエスエム・アイピー・ホールディング・ベー・フェーチャネル付きリフトピン
US11993847B2 (en)2020-01-082024-05-28Asm Ip Holding B.V.Injector
KR20210093163A (ko)2020-01-162021-07-27에이에스엠 아이피 홀딩 비.브이.고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko)2020-01-202024-06-17에이에스엠 아이피 홀딩 비.브이.박막 형성 방법 및 박막 표면 개질 방법
TWI889744B (zh)2020-01-292025-07-11荷蘭商Asm Ip私人控股有限公司污染物捕集系統、及擋板堆疊
TW202513845A (zh)2020-02-032025-04-01荷蘭商Asm Ip私人控股有限公司半導體裝置結構及其形成方法
KR20210100010A (ko)2020-02-042021-08-13에이에스엠 아이피 홀딩 비.브이.대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en)2020-02-072023-10-03Asm Ip Holding B.V.Methods for depositing gap filling fluids and related systems and devices
KR20210103956A (ko)2020-02-132021-08-24에이에스엠 아이피 홀딩 비.브이.수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법
TW202146691A (zh)2020-02-132021-12-16荷蘭商Asm Ip私人控股有限公司氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法
TWI855223B (zh)2020-02-172024-09-11荷蘭商Asm Ip私人控股有限公司用於生長磷摻雜矽層之方法
CN113410160A (zh)2020-02-282021-09-17Asm Ip私人控股有限公司专用于零件清洁的系统
KR20210113043A (ko)2020-03-042021-09-15에이에스엠 아이피 홀딩 비.브이.반응기 시스템용 정렬 고정구
US11876356B2 (en)2020-03-112024-01-16Asm Ip Holding B.V.Lockout tagout assembly and system and method of using same
KR20210116240A (ko)2020-03-112021-09-27에이에스엠 아이피 홀딩 비.브이.조절성 접합부를 갖는 기판 핸들링 장치
KR102775390B1 (ko)2020-03-122025-02-28에이에스엠 아이피 홀딩 비.브이.타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US12173404B2 (en)2020-03-172024-12-24Asm Ip Holding B.V.Method of depositing epitaxial material, structure formed using the method, and system for performing the method
KR102755229B1 (ko)2020-04-022025-01-14에이에스엠 아이피 홀딩 비.브이.박막 형성 방법
TWI887376B (zh)2020-04-032025-06-21荷蘭商Asm Ip私人控股有限公司半導體裝置的製造方法
TWI888525B (zh)2020-04-082025-07-01荷蘭商Asm Ip私人控股有限公司用於選擇性蝕刻氧化矽膜之設備及方法
KR102783207B1 (ko)*2020-04-142025-03-19주식회사 원익아이피에스기판 처리 장치
US11821078B2 (en)2020-04-152023-11-21Asm Ip Holding B.V.Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko)2020-04-152021-10-26에이에스엠 아이피 홀딩 비.브이.크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en)2020-04-162024-05-28Asm Ip Holding B.V.Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210130646A (ko)2020-04-212021-11-01에이에스엠 아이피 홀딩 비.브이.기판을 처리하기 위한 방법
CN113555279A (zh)2020-04-242021-10-26Asm Ip私人控股有限公司形成含氮化钒的层的方法及包含其的结构
KR20210132612A (ko)2020-04-242021-11-04에이에스엠 아이피 홀딩 비.브이.바나듐 화합물들을 안정화하기 위한 방법들 및 장치
KR20210132600A (ko)2020-04-242021-11-04에이에스엠 아이피 홀딩 비.브이.바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202208671A (zh)2020-04-242022-03-01荷蘭商Asm Ip私人控股有限公司形成包括硼化釩及磷化釩層的結構之方法
KR102866804B1 (ko)2020-04-242025-09-30에이에스엠 아이피 홀딩 비.브이.냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR102783898B1 (ko)2020-04-292025-03-18에이에스엠 아이피 홀딩 비.브이.고체 소스 전구체 용기
KR20210134869A (ko)2020-05-012021-11-11에이에스엠 아이피 홀딩 비.브이.Foup 핸들러를 이용한 foup의 빠른 교환
JP7726664B2 (ja)2020-05-042025-08-20エーエスエム・アイピー・ホールディング・ベー・フェー基板を処理するための基板処理システム
KR102788543B1 (ko)2020-05-132025-03-27에이에스엠 아이피 홀딩 비.브이.반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh)2020-05-152021-12-16荷蘭商Asm Ip私人控股有限公司形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko)2020-05-192021-11-29에이에스엠 아이피 홀딩 비.브이.기판 처리 장치
KR102795476B1 (ko)2020-05-212025-04-11에이에스엠 아이피 홀딩 비.브이.다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145079A (ko)2020-05-212021-12-01에이에스엠 아이피 홀딩 비.브이.기판을 처리하기 위한 플랜지 및 장치
TWI873343B (zh)2020-05-222025-02-21荷蘭商Asm Ip私人控股有限公司用於在基材上形成薄膜之反應系統
KR20210146802A (ko)2020-05-262021-12-06에이에스엠 아이피 홀딩 비.브이.붕소 및 갈륨을 함유한 실리콘 게르마늄 층을 증착하는 방법
TWI876048B (zh)2020-05-292025-03-11荷蘭商Asm Ip私人控股有限公司基板處理方法
TW202212620A (zh)2020-06-022022-04-01荷蘭商Asm Ip私人控股有限公司處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202208659A (zh)2020-06-162022-03-01荷蘭商Asm Ip私人控股有限公司沉積含硼之矽鍺層的方法
TW202218133A (zh)2020-06-242022-05-01荷蘭商Asm Ip私人控股有限公司形成含矽層之方法
TWI873359B (zh)2020-06-302025-02-21荷蘭商Asm Ip私人控股有限公司基板處理方法
US12431354B2 (en)2020-07-012025-09-30Asm Ip Holding B.V.Silicon nitride and silicon oxide deposition methods using fluorine inhibitor
TW202202649A (zh)2020-07-082022-01-16荷蘭商Asm Ip私人控股有限公司基板處理方法
KR20220010438A (ko)2020-07-172022-01-25에이에스엠 아이피 홀딩 비.브이.포토리소그래피에 사용하기 위한 구조체 및 방법
TWI878570B (zh)2020-07-202025-04-01荷蘭商Asm Ip私人控股有限公司用於沉積鉬層之方法及系統
KR20220011092A (ko)2020-07-202022-01-27에이에스엠 아이피 홀딩 비.브이.전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
US12322591B2 (en)2020-07-272025-06-03Asm Ip Holding B.V.Thin film deposition process
KR102832223B1 (ko)*2020-07-292025-07-09주식회사 원익아이피에스기판처리장치
KR20220021863A (ko)2020-08-142022-02-22에이에스엠 아이피 홀딩 비.브이.기판 처리 방법
US12040177B2 (en)2020-08-182024-07-16Asm Ip Holding B.V.Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202228863A (zh)2020-08-252022-08-01荷蘭商Asm Ip私人控股有限公司清潔基板的方法、選擇性沉積的方法、及反應器系統
US11725280B2 (en)2020-08-262023-08-15Asm Ip Holding B.V.Method for forming metal silicon oxide and metal silicon oxynitride layers
TW202229601A (zh)2020-08-272022-08-01荷蘭商Asm Ip私人控股有限公司形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
TW202217045A (zh)2020-09-102022-05-01荷蘭商Asm Ip私人控股有限公司沉積間隙填充流體之方法及相關系統和裝置
USD990534S1 (en)2020-09-112023-06-27Asm Ip Holding B.V.Weighted lift pin
KR20220036866A (ko)2020-09-162022-03-23에이에스엠 아이피 홀딩 비.브이.실리콘 산화물 증착 방법
USD1012873S1 (en)2020-09-242024-01-30Asm Ip Holding B.V.Electrode for semiconductor processing apparatus
TWI889903B (zh)2020-09-252025-07-11荷蘭商Asm Ip私人控股有限公司基板處理方法
US12009224B2 (en)2020-09-292024-06-11Asm Ip Holding B.V.Apparatus and method for etching metal nitrides
KR20220045900A (ko)2020-10-062022-04-13에이에스엠 아이피 홀딩 비.브이.실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh)2020-10-072022-04-08Asm Ip私人控股有限公司气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh)2020-10-142022-08-01荷蘭商Asm Ip私人控股有限公司於階梯式結構上沉積材料的方法
TW202232565A (zh)2020-10-152022-08-16荷蘭商Asm Ip私人控股有限公司製造半導體裝置之方法及使用乙太網路控制自動化技術之基板處理裝置
TW202217037A (zh)2020-10-222022-05-01荷蘭商Asm Ip私人控股有限公司沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh)2020-10-282022-06-16荷蘭商Asm Ip私人控股有限公司用於在基板上形成層之方法、及半導體處理系統
TW202229620A (zh)2020-11-122022-08-01特文特大學沉積系統、用於控制反應條件之方法、沉積方法
TW202229795A (zh)2020-11-232022-08-01荷蘭商Asm Ip私人控股有限公司具注入器之基板處理設備
TW202235649A (zh)2020-11-242022-09-16荷蘭商Asm Ip私人控股有限公司填充間隙之方法與相關之系統及裝置
TW202235675A (zh)2020-11-302022-09-16荷蘭商Asm Ip私人控股有限公司注入器、及基板處理設備
US12255053B2 (en)2020-12-102025-03-18Asm Ip Holding B.V.Methods and systems for depositing a layer
TW202233884A (zh)2020-12-142022-09-01荷蘭商Asm Ip私人控股有限公司形成臨限電壓控制用之結構的方法
US11946137B2 (en)2020-12-162024-04-02Asm Ip Holding B.V.Runout and wobble measurement fixtures
TW202232639A (zh)2020-12-182022-08-16荷蘭商Asm Ip私人控股有限公司具有可旋轉台的晶圓處理設備
TW202242184A (zh)2020-12-222022-11-01荷蘭商Asm Ip私人控股有限公司前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
TW202231903A (zh)2020-12-222022-08-16荷蘭商Asm Ip私人控股有限公司過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202226899A (zh)2020-12-222022-07-01荷蘭商Asm Ip私人控股有限公司具匹配器的電漿處理裝置
USD980814S1 (en)2021-05-112023-03-14Asm Ip Holding B.V.Gas distributor for substrate processing apparatus
USD1023959S1 (en)2021-05-112024-04-23Asm Ip Holding B.V.Electrode for substrate processing apparatus
USD980813S1 (en)2021-05-112023-03-14Asm Ip Holding B.V.Gas flow control plate for substrate processing apparatus
USD981973S1 (en)2021-05-112023-03-28Asm Ip Holding B.V.Reactor wall for substrate processing apparatus
USD990441S1 (en)2021-09-072023-06-27Asm Ip Holding B.V.Gas flow control plate
USD1060598S1 (en)2021-12-032025-02-04Asm Ip Holding B.V.Split showerhead cover

Citations (1)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US6368405B1 (en)*1998-12-242002-04-09Hyundai Electronics Industries Co., Ltd.Apparatus for growing single crystal silicon and method for forming single crystal silicon layer using the same

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US3973762A (en)*1974-05-171976-08-10Dravo CorporationSintering process and apparatus
JPS61191015A (ja)*1985-02-201986-08-25Hitachi Ltd半導体の気相成長方法及びその装置
US4706011A (en)1986-07-071987-11-10Texas Instruments IncorporatedHigh voltage pulse detector with controllable current consumption
JPS63181313A (ja)1987-01-221988-07-26Mitsubishi Electric Corp気相結晶成長装置
US4874464A (en)*1988-03-141989-10-17Epsilon Limited PartnershipProcess for epitaxial deposition of silicon
JPH01243515A (ja)1988-03-251989-09-28Hitachi Ltd熱処理装置
JPH03111552A (ja)*1989-09-261991-05-13Osaka Oxygen Ind Ltd金属管酸化処理装置
CH676500A5 (zh)*1990-05-181991-01-31Werner Kunz
US5275976A (en)*1990-12-271994-01-04Texas Instruments IncorporatedProcess chamber purge module for semiconductor processing equipment
US5314541A (en)*1991-05-281994-05-24Tokyo Electron LimitedReduced pressure processing system and reduced pressure processing method
JPH0547681A (ja)1991-08-141993-02-26Nikko Kyodo Co Ltd気相成長方法
JP3098093B2 (ja)1992-02-202000-10-10三菱電機株式会社化学気相成長装置
US5447294A (en)*1993-01-211995-09-05Tokyo Electron LimitedVertical type heat treatment system
US5637153A (en)*1993-04-301997-06-10Tokyo Electron LimitedMethod of cleaning reaction tube and exhaustion piping system in heat processing apparatus
US5484484A (en)*1993-07-031996-01-16Tokyo Electron KabushikiThermal processing method and apparatus therefor
JPH07263370A (ja)1994-03-171995-10-13Tokyo Electron Ltd熱処理装置
JP3583467B2 (ja)*1994-05-302004-11-04株式会社東芝半導体装置の製造装置及び製造方法
US5783046A (en)*1994-11-281998-07-21Gentech, Inc.Process and apparatus for the destructive distillation of rubber
JPH0982720A (ja)1995-09-141997-03-28Tokyo Electron Ltd縦型熱処理装置
US6159300A (en)*1996-12-172000-12-12Canon Kabushiki KaishaApparatus for forming non-single-crystal semiconductor thin film, method for forming non-single-crystal semiconductor thin film, and method for producing photovoltaic device
JP3476638B2 (ja)*1996-12-202003-12-10東京エレクトロン株式会社Cvd成膜方法
US5872017A (en)*1997-01-241999-02-16Seh America, Inc.In-situ epitaxial passivation for resistivity measurement
JPH10280153A (ja)1997-04-111998-10-20Toshiba Mach Co Ltdプラズマcvd装置
US6042654A (en)1998-01-132000-03-28Applied Materials, Inc.Method of cleaning CVD cold-wall chamber and exhaust lines
US20030164225A1 (en)*1998-04-202003-09-04Tadashi SawayamaProcessing apparatus, exhaust processing process and plasma processing
US6086362A (en)*1998-05-202000-07-11Applied Komatsu Technology, Inc.Multi-function chamber for a substrate processing system
KR20000003915A (ko)*1998-06-302000-01-25김영환반도체 소자의 게이트 절연막 형성방법
JP3396431B2 (ja)*1998-08-102003-04-14東京エレクトロン株式会社酸化処理方法および酸化処理装置
JP2000138168A (ja)*1998-10-292000-05-16Shin Etsu Handotai Co Ltd半導体ウェーハ及び気相成長装置
JP3159187B2 (ja)*1998-11-042001-04-23日本電気株式会社薄膜成膜方法
JP3818480B2 (ja)1999-04-212006-09-06株式会社日立国際電気半導体素子の製造方法及びその装置
US6235651B1 (en)*1999-09-142001-05-22Infineon Technologies North AmericaProcess for improving the thickness uniformity of a thin layer in semiconductor wafer fabrication
JP4437851B2 (ja)1999-10-282010-03-24東京エレクトロン株式会社処理装置
US20010005553A1 (en)*1999-11-102001-06-28Witzman Matthew R.Linear aperture deposition apparatus and coating process
JP2001140054A (ja)*1999-11-152001-05-22Nec Kagoshima Ltd真空成膜装置のクリーニング方法及び真空成膜装置
US6547922B2 (en)*2000-01-312003-04-15Canon Kabushiki KaishaVacuum-processing apparatus using a movable cooling plate during processing
EP1124252A2 (en)*2000-02-102001-08-16Applied Materials, Inc.Apparatus and process for processing substrates
JP2001257172A (ja)2000-03-092001-09-21Hitachi Kokusai Electric Inc半導体製造装置
TW578214B (en)*2000-05-292004-03-01Tokyo Electron LtdMethod of forming oxynitride film or the like and system for carrying out the same
JP3644880B2 (ja)*2000-06-202005-05-11東京エレクトロン株式会社縦型熱処理装置
JP4079582B2 (ja)2000-09-282008-04-23株式会社日立国際電気熱処理装置および熱処理方法
KR100345304B1 (ko)*2000-10-122002-07-25한국전자통신연구원수직형 초고진공 화학증착장치
US6413844B1 (en)*2001-01-102002-07-02Asm International N.V.Safe arsenic gas phase doping
JP4470325B2 (ja)*2001-01-122010-06-02株式会社島津製作所成膜装置
JP4644943B2 (ja)*2001-01-232011-03-09東京エレクトロン株式会社処理装置
US6844273B2 (en)*2001-02-072005-01-18Tokyo Electron LimitedPrecleaning method of precleaning a silicon nitride film forming system
US7053459B2 (en)*2001-03-122006-05-30Renesas Technology Corp.Semiconductor integrated circuit device and process for producing the same
JP2002280374A (ja)*2001-03-192002-09-27Hitachi Kokusai Electric Inc基板処理装置及び半導体装置の製造方法
JP2002299269A (ja)2001-03-292002-10-11Tokyo Electron Ltd熱処理装置、および熱処理方法
JP4610771B2 (ja)2001-04-052011-01-12東京エレクトロン株式会社縦型熱処理装置およびその強制空冷方法
JP2002317269A (ja)*2001-04-182002-10-31Hitachi Ltd半導体装置の製造方法
JP2002334868A (ja)*2001-05-102002-11-22Hitachi Kokusai Electric Inc基板処理装置および半導体装置の製造方法
JP3421329B2 (ja)*2001-06-082003-06-30東京エレクトロン株式会社薄膜形成装置の洗浄方法
JP4149687B2 (ja)*2001-07-192008-09-10シャープ株式会社熱処理方法
JP3660897B2 (ja)*2001-09-032005-06-15株式会社ルネサステクノロジ半導体装置の製造方法
KR100431657B1 (ko)*2001-09-252004-05-17삼성전자주식회사웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
KR100438946B1 (ko)*2001-10-122004-07-03주식회사 엘지이아이플라즈마 증착장비의 가열된 냉각수를 이용한 가스주입관응축방지장치
KR100499211B1 (ko)*2001-11-132005-07-07가부시키가이샤 히다치 고쿠사이 덴키반도체 장치의 제조 방법 및 기판 처리 장치
JP2003158080A (ja)*2001-11-222003-05-30Mitsubishi Electric Corp半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
JP2003188115A (ja)*2001-12-172003-07-04Shin Meiwa Ind Co Ltd半導体配線形成方法及び装置、半導体デバイス製造方法及び装置、並びにウエハ
JP2003203868A (ja)2002-01-072003-07-18Hitachi Kokusai Electric Inc半導体製造装置
JP4086146B2 (ja)*2002-03-262008-05-14株式会社日立国際電気半導体装置の製造方法および基板処理装置
KR101088964B1 (ko)*2002-06-272011-12-01가부시키가이샤 히다치 고쿠사이 덴키기판 처리 장치, 기판 지지체 및 반도체 장치의 제조 방법
JP4699675B2 (ja)*2002-10-082011-06-15信越半導体株式会社アニールウェーハの製造方法
EP1592051A4 (en)*2003-01-242012-02-22Tokyo Electron Ltd CHEMICAL VAPOR DEPOSITION METHOD FOR FORMING SILICON NITRIDE FILM ON A SUBSTRATE
WO2004079804A1 (ja)*2003-03-032004-09-16Hitachi Kokusai Electric Inc.基板処理装置および半導体装置の製造方法
JP4315420B2 (ja)*2003-04-182009-08-19キヤノン株式会社露光装置及び露光方法
KR100527047B1 (ko)*2003-07-012005-11-09주식회사 아이피에스박막증착방법
CN1823404B (zh)*2003-09-192012-08-29株式会社日立国际电气半导体装置的制造方法及衬底处理装置
WO2005031851A1 (ja)*2003-09-252005-04-07Hitachi Kokusai Electric Inc.基板処理装置及び基板の製造方法
JP4320323B2 (ja)*2003-11-202009-08-26株式会社日立国際電気半導体装置の製造方法及び基板処理装置
KR100718180B1 (ko)*2004-03-292007-05-15가부시키가이샤 히다치 고쿠사이 덴키반도체 장치의 제조 방법 및 기판 처리 장치
CN100456435C (zh)*2004-11-012009-01-28株式会社日立国际电气衬底处理装置以及半导体设备的制造方法
JP4225998B2 (ja)*2004-12-092009-02-18東京エレクトロン株式会社成膜方法及び成膜装置並びに記憶媒体
KR101047230B1 (ko)*2006-03-282011-07-06가부시키가이샤 히다치 고쿠사이 덴키기판 처리 장치
US7910494B2 (en)*2006-03-292011-03-22Tokyo Electron LimitedThermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
JP4809175B2 (ja)*2006-09-282011-11-09株式会社日立国際電気半導体装置の製造方法
JP2008218984A (ja)*2007-02-062008-09-18Hitachi Kokusai Electric Inc半導体装置の製造方法及び基板処理装置
JP5047681B2 (ja)2007-04-272012-10-10シャープ株式会社液体材料吐出装置のクリーニング装置、及び該クリーニング装置にて実行されるローラー回転制御方法
JP2009123795A (ja)*2007-11-132009-06-04Hitachi Kokusai Electric Inc半導体装置の製造方法及び基板処理装置
JP4531833B2 (ja)*2007-12-052010-08-25株式会社日立国際電気基板処理装置、半導体装置の製造方法及びクリーニング方法
JP5697849B2 (ja)*2009-01-282015-04-08株式会社日立国際電気半導体装置の製造方法及び基板処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US6368405B1 (en)*1998-12-242002-04-09Hyundai Electronics Industries Co., Ltd.Apparatus for growing single crystal silicon and method for forming single crystal silicon layer using the same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2002-317269A 2002.10.31

Also Published As

Publication numberPublication date
US7955991B2 (en)2011-06-07
JP5199286B2 (ja)2013-05-15
JP2010098331A (ja)2010-04-30
CN101914760B (zh)2012-08-29
JPWO2005029566A1 (ja)2007-11-15
KR20060066168A (ko)2006-06-15
TW201310530A (zh)2013-03-01
TWI389204B (zh)2013-03-11
KR100943588B1 (ko)2010-02-23
US8636882B2 (en)2014-01-28
KR20070091229A (ko)2007-09-07
TW201145390A (en)2011-12-16
KR20090055650A (ko)2009-06-02
US20110239936A1 (en)2011-10-06
US20090239386A1 (en)2009-09-24
US20070259532A1 (en)2007-11-08
KR100765681B1 (ko)2007-10-12
CN101914760A (zh)2010-12-15
TWI449104B (zh)2014-08-11
KR100938534B1 (ko)2010-01-25
WO2005029566A1 (ja)2005-03-31
CN101429649A (zh)2009-05-13
TW200514162A (en)2005-04-16
JP5190077B2 (ja)2013-04-24
JP5452043B2 (ja)2014-03-26
JP2010109387A (ja)2010-05-13
CN101429649B (zh)2012-06-13
JP2009135541A (ja)2009-06-18
US8231731B2 (en)2012-07-31
CN1823404A (zh)2006-08-23
TWI360179B (en)2012-03-11

Similar Documents

PublicationPublication DateTitle
CN1823404B (zh)半导体装置的制造方法及衬底处理装置
JP4832494B2 (ja)半導体装置の製造方法、基板処理方法及び基板処理装置
US6107198A (en)Ammonium chloride vaporizer cold trap
JP4426671B2 (ja)熱処理装置及びその洗浄方法
JP5028957B2 (ja)成膜方法及び成膜装置並びに記憶媒体
EP4002434A1 (en)A substrate processing apparatus with an injector
JP2011066106A (ja)半導体装置の製造方法及び基板処理装置
JP4451671B2 (ja)SiOの製造方法及び製造装置
JP2686447B2 (ja)反応装置
JP3729578B2 (ja)半導体製造方法
JP2001107243A (ja)薄膜形成装置の洗浄方法
JP2009088308A (ja)基板処理装置
JPH09298162A (ja)真空式半導体製造装置におけるヒータの冷却方法
JP2009182024A (ja)基板処理装置及び半導体装置の製造方法
JPS58132936A (ja)シリコン窒化膜の形成方法
RU2002107048A (ru)Способ формирования слоев поликристаллического кремния

Legal Events

DateCodeTitleDescription
C06Publication
PB01Publication
C10Entry into substantive examination
SE01Entry into force of request for substantive examination
C14Grant of patent or utility model
GR01Patent grant
TR01Transfer of patent right

Effective date of registration:20181205

Address after:Tokyo, Japan

Patentee after:KOKUSAI ELECTRIC Corp.

Address before:Tokyo, Japan

Patentee before:HITACHI KOKUSAI ELECTRIC Inc.

TR01Transfer of patent right
CX01Expiry of patent term

Granted publication date:20120829

CX01Expiry of patent term

[8]ページ先頭

©2009-2025 Movatter.jp