Movatterモバイル変換


[0]ホーム

URL:


CN115735261A - 含硅膜中的杂质减量 - Google Patents

含硅膜中的杂质减量
Download PDF

Info

Publication number
CN115735261A
CN115735261ACN202180046339.3ACN202180046339ACN115735261ACN 115735261 ACN115735261 ACN 115735261ACN 202180046339 ACN202180046339 ACN 202180046339ACN 115735261 ACN115735261 ACN 115735261A
Authority
CN
China
Prior art keywords
silicon
reactant
plasma
substrate
impurity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180046339.3A
Other languages
English (en)
Inventor
阿维尼什·古普塔
巴特·J·范施拉芬迪克
詹森·亚历山大·瓦内尔
约瑟夫·R·阿贝尔
詹尼弗·利·佩特拉利亚
阿德里安·拉沃伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research CorpfiledCriticalLam Research Corp
Publication of CN115735261ApublicationCriticalpatent/CN115735261A/zh
Pendinglegal-statusCriticalCurrent

Links

Images

Classifications

Landscapes

Abstract

本文中的多种实施方案涉及沉积具有高纯度的经掺杂或未经掺杂的含硅膜的方法和装置。在一示例中,所述方法包括将衬底暴露于第一反应物和第二反应物;使所述第一和第二反应物彼此反应以形成含硅材料,以及在所述衬底上沉积一部分的含硅膜;在所述含硅膜完成之前执行杂质减量操作,执行所述杂质减量操作包括:(i)从包括惰性气体和氢的等离子体产生气体产生等离子体,其中所述等离子体产生气体基本上无氧,以及(ii)将衬底暴露于所述等离子体,从而减小含硅膜中的氟、碳、氢和/或氮浓度;以及重复进行这些操作(或其子集合)直到将含硅膜沉积至最终厚度。

Description

含硅膜中的杂质减量
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。在同时提交的PCT申请表中所确定的本申请主张其权益或者优先权的每个申请均通过引用全文并入本文并用于所有目的。
背景技术
半导体设备的制造涉及许多不同工艺,包括例如沉积及蚀刻。沉积常用的一种材料为硅氧化物。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
其中一方面涉及在衬底上沉积经掺杂或未经掺杂的含硅膜的方法,该方法包括:(a)将该衬底暴露于第一反应物,其中该第一反应物为含硅反应物;(b)使至少该第一反应物在第一等离子体中进行反应以形成经掺杂或未经掺杂的含硅材料,以及在该衬底上沉积一部分的经掺杂或未经掺杂的该含硅膜,经掺杂或未经掺杂的该含硅膜具有第一杂质浓度;(c)在该部分的经掺杂或未经掺杂的该含硅膜的沉积完成之前,执行杂质减量操作,其中该杂质减量操作包括:(i)从等离子体产生气体产生第二等离子体,其中该等离子体产生气体包括惰性气体及氢(H2),以及其中该等离子体产生气体实质上是无氧(O2)的,以及(ii)将该衬底暴露于该第二等离子体,以将经掺杂或未经掺杂的该含硅膜中的该第一杂质浓度减小至第二杂质浓度;以及(d)将(a)与(b)或(c)中的至少一者重复进行,直到将经掺杂或未经掺杂的该含硅膜沉积至最终厚度。
在多种实施方案中,该杂质为氟、碳、氢、氮及其组合中的一或更多者。
在多种实施方案中,该第二反应物为含氧反应物。
在一些实施方案中,该第一反应物及该第二反应物同时被引导至包括该衬底的室。在一些实施方案中,该第一反应物及该第二反应物以暂时分隔的脉冲而被引导至包括该衬底的室。
在多种实施方案中,该方法还包括(e)将该衬底暴露于第二反应物,使得使该至少第一反应物进行反应包括使该第一反应物与该第二反应物进行反应,以形成经掺杂或未经掺杂的该含硅材料,使得将(a)与(b)或(c)中的至少一者重复进行还包括重复进行(e)。
在多种实施方案中,经掺杂或未经掺杂的该含硅膜被沉积于在该衬底的表面中所形成的凹陷特征中,该方法还包括在经掺杂或未经掺杂的该含硅膜完全填充凹陷特征之前,将该衬底暴露于蚀刻化学品以对凹陷特征中的经掺杂或未经掺杂的该含硅膜的顶部进行蚀刻。
在多种实施方案中,经掺杂或未经掺杂的该含硅膜被沉积于在该衬底的表面中所形成的凹陷特征中,该方法还包括将该衬底暴露于抑制化学品,以比起凹陷特征的底部及中间而选择性抑制凹陷特征的顶部附近的沉积。
在一些实施方案中,该第二杂质浓度小于该第一杂质浓度的1/10。
在一些实施方案中,在经掺杂或未经掺杂的该含硅膜达到该最终厚度后,经掺杂或未经掺杂的该含硅膜中的氟浓度为约1E16原子/cc的数量级。
在一些实施方案中,在经掺杂或未经掺杂的该含硅膜达到该最终厚度后,经掺杂或未经掺杂的含硅膜中的碳浓度为约1E20原子/cc以下。
在一些实施方案中,在经掺杂或未经掺杂的含硅膜达到该最终厚度后,经掺杂或未经掺杂的该含硅膜中的碳浓度为约5E19原子/cc以下。
在一些实施方案中,在经掺杂或未经掺杂的该含硅膜达到该最终厚度后,经掺杂或未经掺杂的该含硅膜中的碳浓度约为2%以下(原子百分比)。举例而言,在一些实施方案中,在经掺杂或未经掺杂的含硅膜达到该最终厚度后,经掺杂或未经掺杂的该含硅膜中的碳浓度为约0.5%以下(原子百分比)。
在一些实施方案中,在经掺杂或未经掺杂的该含硅膜达到该最终厚度后,经掺杂或未经掺杂的该含硅膜中的氢浓度为约5E20原子/cc以下。举例而言,在一些实施方案中,在经掺杂或未经掺杂的该含硅膜达到最终厚度后,经掺杂或未经掺杂的该含硅膜中的该氢浓度为约1.5E20原子/cc以下。
在一些实施方案中,在经掺杂或未经掺杂的该含硅膜达到最终厚度后,经掺杂或未经掺杂的该含硅膜中的氢浓度为约5%以下(原子百分比)。举例而言,在一些实施方案中,在经掺杂或未经掺杂的该含硅膜达到最终厚度后,经掺杂或未经掺杂的该含硅膜中的氢浓度为约0.75%以下(原子百分比)。
在一些实施方案中,在经掺杂或未经掺杂的该含硅膜达到最终厚度后,经掺杂或未经掺杂的该含硅膜中的氮浓度为约3E20原子/cc以下。
在一些实施方案中,在经掺杂或未经掺杂的含硅膜达到最终厚度后,经掺杂或未经掺杂的该含硅膜中的氮浓度为约1.5%以下(原子百分比)。
在一些实施方案中,其中在(d)(i)中产生该等离子体包括使该惰性气体以约2slm至约60slm的速率流动、使该氢以约0.5slm至约5slm的速率流动以及在RF功率电平下产生该等离子体,其中该RF功率电平包括约1000W至约5000W的HF RF以及约0W至约2000W的LFRF。
在一些实施方案中,在(d)(ii)中将该衬底暴露于该等离子体持续约1秒以下。
在一些实施方案中,该等离子体产生气体中的惰性气体包括氩。
在一些实施方案中,该等离子体产生气体包括氮(N2)。
在一些实施方案中,该第一反应物包括硅烷。在一些实施方案中,该第一反应物包括氨基硅烷。
另一方面涉及在衬底上沉积经掺杂或未经掺杂的含硅膜的装置,该装置包括:处理室;输入口,其通向该处理室以将反应物引导至该处理室;等离子体产生器,其用于在该处理室中产生等离子体;以及控制器,其被配置以进行本文所述的任何方法。
这些及其他方面将参照附图而进一步在下文描述。
附图说明
图1A示出了通过原子层沉积以沉积硅氧化物膜的工艺流程,其中杂质减量被包括作为原子层沉积循环的一部分。
图1B示出了通过原子层沉积以沉积硅氧化物膜的工艺流程,其中杂质减量是在多个原子层沉积循环后定期执行。
图1C示出了通过基于抑制的沉积方案以沉积硅氧化物膜的工艺流程,其中杂质减量是在该硅氧化物膜的整个沉积过程中定期执行。
图1D示出了通过沉积-蚀刻-沉积方案以沉积硅氧化物膜的工艺流程,其中杂质减量是在该硅氧化物膜的整个沉积过程中定期执行。
图1E描绘了通过化学气相沉积以沉积硅氧化物膜的工艺流程,其中杂质减量是在该硅氧化物膜的整个沉积过程中定期执行。
图2显示了可用于实施本文所述的方法的示例性处理站。
图3显示了可用于实施本文所述的方法的示例性多站处理工具。
图4A-4C描绘了与不同杂质的浓度相关的实验结果,其中该硅氧化物膜使用某些方法来沉积。
图5A和5B描绘了与不同杂质的浓度相关的实验结果,其中该硅氧化物膜是在无定期杂质减量下(图5A)以及具有定期杂质减量步骤下(图5B)来沉积。
图6呈现了将无杂质减量的工艺与进行杂质减量的工艺进行比较的各种杂质浓度(原子百分比)。
图7A及7B显示了FTIR的实验数据,其暗示本文所述的杂质减量造成氢相关的峰降低(图7A)以及硅-氧相关的峰提高(图7B)。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。可以在没有这些具体细节的一些或全部的情况下实践所公开的实施方案。在其他情况下,没有详细描述众所周知的工艺操作,以免不必要地使所公开的实施方案难以理解。尽管将结合具体实施方案来描述所公开的实施方案,但应当理解,其并非意在限制所公开的实施方案。
半导体设备的制造期间时常沉积含硅材料。示例性含硅材料包括硅氧化物、硅氮氧化物、硅氮化物、硅碳氮化物、硅碳氧化物、硅碳氢化物。含硅材料可为经掺杂或未经掺杂的。经掺杂材料包括如硼和/或磷之类的掺质。若干种不同沉积工艺是可行的。在许多情况下使用基于气相的沉积工艺。示例性的基于气相的沉积工艺包括例如原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、远程等离子体化学气相沉积(RPCVD)、远程等离子体原子层沉积(RPALD)、感应耦合等离子体化学气相沉积(ICP-CVD)或高密度等离子体化学气相沉积(HDP-CVD)等。在一些情况下,可使用较复杂的沉积方案,其可包括伴随其他操作(例如,蚀刻、抑制和/或钝化)的循环式沉积操作。
不幸的是,这些沉积工艺中的许多会使大量杂质掺入含硅膜中。这些杂质可包括例如氟、碳、氢及氮。这些元素可能会对所形成的设备的各种物理及电气性质产生负面影响。举例来说,硅氧化物中的杂质可能导致相对较高的湿式蚀刻速率,而这与较低质量的硅氧化物膜具有关联性。此外,这些杂质的存在可能会对所述设备中的泄漏电流及击穿电压产生负面影响,从而使这种膜不适合用于电气绝缘用途。
由于使用前体以沉积含硅膜,故可能会将碳、氢及氮掺进含硅膜中。举例来说,在气相沉积技术中常使用基于氨基硅烷的前体。除了所需的硅之外,基于氨基硅烷的前体还包括碳、氢及氮。在沉积硅氧化物的典型沉积条件下,大量的碳、氢及氮在沉积期间非期望地被掺进硅氧化物膜中。
可采取各种操作以减少碳、氢及氮杂质。举例而言,可控制沉积条件以使杂质的掺入最小化。在一特定示例中,可通过在相对高温(例如,约900℃以上)进行沉积而减小硅氧化物膜中的杂质浓度。然而,在一些情况下,高沉积温度可能会过于受限。
在另一示例中,可使用基于卤素的含硅前体代替基于氨基硅烷的前体。示例性的基于卤素的含硅前体包括但不限于四氯化硅(SiCl4)、二氯硅烷(SiH2Cl2)、二碘硅烷(SiH2I2)等。许多基于卤素的含硅前体包含少量或无碳、氮和/或氢,尤其是比起常见的基于氨基硅烷前体,例如三(二甲基氨基)硅烷(也称为3DMAS,其化学式为C6H19N3Si)。举例而言,尽管二氯硅烷及二碘硅烷各自包括二个氢,Si:H的比率是相对高的1:2。相对地,在三(二甲基氨基)硅烷中,Si:H的比率是远远较低的1:19。由于基于卤素的含硅前体比起基于氨基硅烷前体包括相对少的碳、氮及氢,在沉积期间这些元素是较少量地掺入该硅氧化物膜中。然而,已知卤素物质会侵蚀执行该沉积的处理室中的金属,而从该处理室被蚀刻掉的该金属可能会沉积在正进行处理的衬底上。于是,该基于卤素的前体方法是难以实施的,并且可能造成处理装备的劣化及对于衬底的不良缺陷性能。
含硅膜中的氟杂质可源自于多种不同来源。在许多情况下,定期使用含氟化学品以对进行沉积的处理室进行清洁。此清洁的目标可在于将堆积在内部室表面的膜移除。在清洁操作后,剩余的氟可能会留在室表面上,且最终可能会进到该室中所沉积的硅氧化物膜中。由于氟是经由室清洁操作而被引进,因此能理解的是,无论用于形成该硅氧化物膜的沉积方案如何,此清洁都可能会导致在硅氧化物膜中引进氟。此外,在这些或其他情况下,可能会使用特定沉积方案来沉积含硅膜,其中该特定沉积方案涉及将该衬底暴露于NF3或其他含氟化学品。此含氟化学品在间隙填充的背景中是特别实用的,其中硅氧化物被沉积在具有高深度:宽度的深宽比的凹陷特征中。这些特征可能是非常难以均匀方式来填充,而不在所述特征内形成空隙或接缝。在一示例中使用沉积-蚀刻-沉积方案(“沉积-蚀刻-沉积”),其中在以含硅材料填充特征时使沉积、蚀刻和/或钝化操作彼此进行循环。该蚀刻确保特征保持足够开放以允许进一步的含硅材料沉积,而不使所述特征封闭并形成空隙。然而,该蚀刻操作通常涉及将该衬底暴露于NF3或其他含氟化学品,而其会将氟掺进含硅膜中。
在另一示例中,使用基于抑制的沉积方案,其中在以含硅材料填充特征时使抑制、沉积和/或钝化操作彼此进行循环。可将此沉积方案称为抑制剂控制暴露(ICE)沉积。该抑制涉及将衬底暴露于NF3或类似化学品,以选择性抑制特征顶部处或附近的沉积,据此促进从底至上的填充机制,其中该底至上的填充机制是填充特征而不形成空隙或接缝。虽然沉积-蚀刻-沉积方案及基于抑制的沉积方案均涉及定期暴露于NF3或类似化学品,但能理解的是取决于所使用的其他处理条件,NF3可具有不同效应(例如,蚀刻vs.抑制)。
在任一情况下,将衬底暴露于NF3或其他含氟化学品导致在含硅膜中掺入氟杂质。为减少氟杂质,可使用上述无杂质减量的钝化操作,其涉及将该衬底暴露于由氩、氢(H2)及氧(O2)产生的等离子体。该等离子体通常具有相对高浓度的氧。在一示例中,对于4-站室的H2流率约为2slm,对于4-站室的O2流率约为2slm,而对于4-站室的Ar流率为约10slm。该等离子体通常是在约1250W至约2500W的RF功率下产生(例如,对于具有四个站的室而言,其中各站用于处理300mm衬底),而在各次重复进行期间衬底被暴露于等离子体持续约5至约10秒。4-站室的反应室中的压强可为约1Torr至约10Torr,或约2Torr。当沉积期间使用此无杂质减量的钝化操作时,膜中的氟含量低于在无钝化操作的情况下的氟含量。举例来说,在通过沉积-蚀刻-沉积机制或基于抑制的沉积机制沉积含硅材料的各种情况下,该钝化操作可将膜中的氟含量降低约1或2个数量级。作为示例,此膜的典型氟浓度约为1E18至约1E21原子/cc,或为约1E18至约1E20原子/cc,其中最高的氟浓度通常在接口/膜深度处发现,而在该处停止沉积操作且使用蚀刻或抑制操作。实验结果进一步在下文描述。
利用无杂质减量的钝化操作来实现氟含量的减少是有益的。然而,即使利用钝化,该氟浓度仍较高于许多应用的所需值,而进一步降低含硅膜中的氟含量将会具有优势。
本文所提供的是结合杂质减量操作(有时称之为钝化操作)的方法及装置,其中该杂质减量操作可用于使膜中的氟含量大幅减低。某些所公开的实施方案是使用与例如上述的无杂质减量的钝化操作的处理条件不同的处理条件。举例来说,与无杂质减量的钝化操作相比,本文所述的杂质减量操作可进一步使膜中的氟含量降低1至2个数量级。在各种情况下,使用杂质减量操作的沉积可产生氟含量的数量级为约1E16原子/cc的硅氧化物膜。
杂质减量操作可在凹陷特征(举例而言,例如深度:宽度的深宽比低至约1:1的低深宽比特征,例如深度:宽度比率高达约100:1的高深宽比特征,以及深宽比大约为这些数值范围的凹陷特征)中沉积含硅材料的背景中实施。该杂质减量操作还可在沉积含硅材料(例如,硅氧化物)的毯覆膜的背景中实施。示例性应用包括在NAND、DRAM、逻辑、PCRAM及MRAM应用等中沉积SiO2(在一些情况下为SiO2/SiN)。
有利的是,该杂质减量操作还具有减少含硅膜中的碳含量、氢含量及氮含量的效果。因此,该杂质减量操作制造出的含硅膜比起先前使用基于氨基硅烷前体和/或上述沉积技术可达成的含硅膜相比更加纯净。
此外,与该无杂质减量的钝化操作所需的时间相比,该杂质减量操作可在明显较少的时间中执行。换言之,该杂质减量操作在较少时间内获得较好结果(例如,杂质减量较多)。因此,个别循环时间及整体沉积时间显著降低,使某些沉积装置的生产量增加。这是显著的改善。
沉积方法
某些所公开的实施方案可涉及ALD。ALD为利用序列式自限制性反应沉积薄材料层的技术。ALD工艺使用表面介导的沉积反应在多个循环中以逐层基础沉积膜。举例而言,ALD循环可包含以下操作:(i)前体的输送/吸附、(ii)从室清除前体、(iii)输送第二反应物及任选地点燃等离子体、以及(iv)从室清除副产物。用于在衬底的表面上形成薄膜的第二反应物与被吸附的前体之间的反应影响膜的组成及性质,例如不均匀性、应力、湿式蚀刻速率、干式蚀刻速率、电性质(例如击穿电压及泄漏电流)等。
不同于化学气相沉积(CVD)技术,ALD工艺使用表面介导的沉积反应以在逐层基础上沉积膜。在ALD工艺的一示例中,使包含一群表面活性位点的衬底表面暴露于提供至容纳衬底的室的一定剂量的第一前体(例如含硅前体)的气相分布。此第一前体的分子被吸附至衬底表面上,包含第一前体的化学吸附物质和/或物理吸附分子。应当理解,当化合物如本文所述吸附至衬底表面上时,吸附层可包含该化合物以及该化合物的衍生物。举例而言,含硅前体的吸附层可包括含硅前体以及含硅前体的衍生物。在第一前体剂量之后,接着将室排空以移除大部分或全部的留在气相中的第一前体,使得仅有或大部分吸附物质留下。在一些实施方案中,室可能未被完全排空。举例而言,可将反应器排空,使得气相中的第一前体的分压足够低以减缓反应。将例如含氧气体的第二反应物引入室,使得这些分子中的一些与吸附在表面上的第一前体反应。在一些工艺中,第二前体立即与吸附的第一前体反应。在其他实施方案中,第二反应物仅在暂时施加活化源之后反应。然后,可再次将室排空,以移除未结合的第二反应物分子。如上所述,在一些实施方案中,室可能未被完全排空。可将额外的ALD循环用于建构薄膜厚度。
在一些实施方案中,ALD方法包含等离子体活化。如本文所述,本文所述的ALD方法及装置可为保形膜沉积(CFD)方法,其大致描述于2011年4月11日提出申请且名称为“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084,399(现为美国专利No.8,728,956)中、及2011年4月11日提出申请且名称为“SILICON NITRIDE FILMSAND METHODS”的美国专利申请No.13/084,305,其通过引用整体并入本文。
图1A-1E示出了可在多种实施方案中使用的多个沉积方案。各示例性沉积方案可单独执行,或与图1A-1E中示出的其他沉积方案的任何一或更多者组合执行。图1A示出了ALD循环101A,其中该ALD循环101A被修改以包括杂质减量操作。x-轴表示时间。在此示例中,该ALD循环101A包括配料操作102,接着为任选清扫操作103,接着为氧化操作104,接着为杂质减量操作105,接着为第二任选清扫操作106。在无杂质减量的ALD中省略该杂质减量操作105。
在实施图1A的实施方案时,在配料操作102期间将第一反应物(举例来说为含硅前体,例如基于氨基硅烷的前体)提供至反应室。该第一反应物被允许吸附至衬底表面上。在清扫操作103期间可将过量第一反应物从该反应室移除。这可通过清扫和/或抽空处理室以移除过量第一反应物而不移除吸附层来完成。可使用例如氮(N2)、氩(Ar)、氖(Ne)、氦(He)、氢(H2)及其组合的任何惰性气体而完成清扫。
在氧化操作104期间是将第二反应物(例如,含氧反应物)提供至衬底,且该第一及第二反应物在衬底表面上彼此进行反应以形成含硅材料。在一些情况下,使用热能以驱动该第一与第二反应物之间的反应。在其他情况下,可使用等离子体能量以驱动此反应。
在杂质减量操作105期间将该衬底暴露于杂质减量等离子体,如在下文进一步描述的。在第二清扫操作106期间将过量反应物及副产物从该反应室移除。第二清扫操作106可涉及上方关于清扫操作103所描述的任何处理条件。该清扫操作103及该第二清扫操作106可涉及抽空该反应室和/或以惰性气体打扫该反应室。可将图1A的ALD循环101A重复进行任意次数(例如,在图1A中为X次)以实现所期望的膜厚度。
在类似实施方案中,该杂质减量操作105可在图1A的ALD循环101A期间的不同时间点进行。一般而言,可在清扫操作106之前、期间或之后且在后续配料操作102的重复进行之前进行该杂质减量操作105。
图1B示出了通过ALD进行沉积的另一示例。在此示例中,ALD循环101B包括配料操作102、清扫操作103、氧化操作104及第二清扫操作106。这些操作类似于上述操作,并可将其重复进行任意次数(例如,在图1B中为X次)以增进额外膜厚度。在将该ALD循环101B重复进行X次后执行该杂质减量操作105。可将该ALD循环101B及该杂质减量操作105(请参见附图标记150)一起重复进行任意次数(例如,在图1B中为Y次)。较频繁执行该杂质减量操作105可导致较多的杂质减量,但其也造成处理时间增加及生产量降低。在根据图1B进行沉积的多种实施方案中,X可约为1至约为20,而Y可约为1至约为100。可将Y进行选择以实现所期望的最终膜厚度。在图1B中的方法的特定实施方案中,沉积速率为约
Figure BDA0004025028840000101
/循环的量级,X=20,且Y=100,制造出总厚度为约
Figure BDA0004025028840000102
的膜。
在这些或其他实施方案中,可定期进行该杂质减量操作105,其中频率是基于各循环中所沉积的膜厚度。在一示例中,可在各次沉积1nm的含硅膜后重复进行该杂质减量操作105(例如,沉积1nm的含硅膜、执行杂质减量105、重复进行)。更一般而言,可在各次沉积0.1至2nm的含硅膜的部分/厚度后重复进行该杂质减量操作105。在将该含硅膜沉积作为毯覆膜的情况下,可使用该毯覆膜的厚度。在将该含硅膜沉积于凹陷特征中的情况下,可从该特征的底部往上测量膜厚度。
图1C描绘了例如在间隙填充的背景中通过基于抑制的机制(例如,ICE)进行沉积的示例。该工艺是从抑制操作110开始,其中将该衬底暴露于NF3或类似化学品,以比起凹陷特征的底部及中间部而选择性抑制该衬底表面上的凹陷特征的顶部附近的沉积。接着,执行ALD循环101B,其中该ALD循环101B包括配料操作102、清扫操作103、氧化操作104及清扫操作106。可将ALD循环101B重复进行任意次数(例如,在图1C中为X次)。接着,执行该杂质减量操作105,如在下文进一步描述的。该杂质减量操作105可执行以代替无杂质减量的钝化操作。在图1C的示例中,该杂质减量操作105也可作用以将该衬底上存在的任何剩余抑制剂物质完全移除,其中所述抑制剂物质源自于该抑制操作110。在ALD循环101B期间,该抑制剂物质被缓慢腐蚀掉;然而,通常会残留一些抑制剂物质。通过将任何剩余抑制剂物质完全剥离,该杂质减量操作105使特征的侧壁(例如,特别是抑制剂物质曾经存在的上部侧壁)回复到其初始未受抑制的状态。这确保后续抑制操作110得以均匀、可再现的方式执行,以将该上部侧壁作为抑制的目标。
可将抑制操作110、ALD循环101B及该杂质减量操作105(参见附图标记150)一起重复进行任意次数(例如,在图1C中为Z次)。与图1B的机制相同,提高该杂质减量操作105的频率可导致较多的杂质减量,但以较长的处理时间及较低的生产量作为代价。在根据图1C所显示的方法进行沉积的多种实施方案中,X可为约1至为约10,而Z可为约1至为约100。在这些或其他实施方案中,可定期进行该杂质减量操作105,其中频率基于各循环中所沉积的膜厚度。在一示例中,可在各次沉积1nm的含硅膜后重复进行该杂质减量操作105(例如,沉积1nm的含硅膜、执行杂质减量105、重复进行)。更一般而言,可在各次沉积约0.1至约20nm、或约0.1nm至约10nm的含硅膜的部分/厚度后重复进行该杂质减量操作105。在将该含硅材料沉积于凹陷特征中的情况下,可从该特征的底部往上测量该含硅膜的厚度。
图1D示出了例如在间隙填充的背景中通过沉积-蚀刻-沉积的机制进行沉积的示例。该处理是从ALD循环101B开始,其中该ALD循环101B可执行X次。接着,执行蚀刻操作120以将先前沉积于凹陷特征中的含硅材料进行部分蚀刻,以确保所述特征在沉积过程中不会封闭/阻塞。该蚀刻操作120可涉及将该衬底暴露于NF3或其他蚀刻化学品(例如,通常为含氟化学品),在多种实施方案中可将NF3或其他蚀刻化学品以等离子体形式提供。可将ALD循环101B与该蚀刻操作120(参见附图标记153)一起重复进行任意次数(例如,在图1D中为Y次)。接着,执行该杂质减量操作105,如在下文进一步描述的。可将ALD循环101B、该蚀刻操作120与该杂质减量操作105(参见附图标记154)一起重复进行任意次数(例如,在图1D中为Z次)。在根据图1D所显示的方法进行沉积的多种实施方案中,X可为约1至为约1000,Y可为约1至为约1000,而Z可为约2至为约5。X与Y可为相同或不同的。在许多情况下,X大于Y,使得大部分(例如,至少约50%、至少约75%或至少约90%)的含硅膜是在进行该蚀刻操作120及该杂质减量操作105之前沉积。在各种情况下,可基于所填充的特征尺寸而决定X。
在这些或其他实施方案中,可定期进行该杂质减量操作105,其中频率是基于各循环中所沉积的膜厚度。一般而言,可在各次沉积约0.1至约20nm、或约0.1nm至约10nm的含硅膜的部分/厚度后重复进行该杂质减量操作105。在将该含硅膜沉积于凹陷特征中的情况下,可从该特征的底部往上测量膜厚度。
图1E示出了通过化学气相沉积进行沉积的示例。该方法是从反应物输送操作130开始,其中将含硅反应物和含氧反应物同时输送至反应室,该含硅反应物及该含氧反应物是在该反应室处彼此进行反应,并且在衬底表面上沉积含硅材料。该反应是通过热能或等离子体能量来驱动。接着,执行该杂质减量操作105,如在下文进一步描述的。可将该反应物输送操作130及该杂质减量操作105(参见附图标记155)一起重复进行任意次数(例如,在图1E中为X次)。在根据图1E所显示的方法进行沉积的多种实施方案中,X可为约1至为约1000,例如为约1至为约100或为约1至为约10。在这些或其他实施方案中,可定期进行该杂质减量操作105,其中频率是基于各循环中所沉积的膜厚度。在一示例中,可在各次沉积10nm的含硅膜后重复进行该杂质减量操作105(例如,沉积10nm的含硅膜、执行杂质减量105、重复进行)。更一般而言,可在各次沉积约0.1nm至约20nm或约0.1nm至约10nm的含硅膜的部分/厚度后重复进行该杂质减量操作105。
虽然上文描述了ALD和CVD的实施方案,但应理解的是某些所公开的实施方案可与涉及等离子体的任何沉积工艺一起实施。非限制性示例包括RPCVD、RPALD、ICP-CVD及HDP-CVD。在多种实施方案中,可使用仅单一反应物以在基于等离子体的环境中形成膜,并仍可将杂质减量操作用于减少该膜中的杂质。
对于杂质减量操作的工艺条件
在多种实施方案中,杂质减量操作涉及将衬底暴露于由等离子体产生气体所产生的等离子体,其中该等离子体产生气体包括惰性气体及氢(例如,H2)。该等离子体产生气体基本上无氧(例如,O2及其他含氧物质)。如本文中所使用的,“基本上无”氧的等离子体产生气体可具有微量的氧;然而,氧和含氧物质不是有意被提供作为等离子体产生气体的一部分。在多种实施方案中,该惰性气体包括氩。替代性或另外地,在一些情况下可使用其他惰性气体(例如,氦、氖、氪等)。在一些情况下,该等离子体产生气体还可以包括氮(例如,N2)。对于4站室的惰性气体的示例性流率可为约2至为约60slm。在一些情况下,惰性气体的流率可至少为约2slm、至少为约5slm、至少为约10slm、至少为约20slm、至少为约30slm或至少为约40slm。在这些或其他情况下,惰性气体的流率可为约60slm以下、为约50slm以下、为约40slm以下、为约30slm以下或为约20slm以下。氢(例如,H2)的示例性流率的可为约0.5及为约5slm。在一些情况下,氢的流率可至少为约0.5slm、至少为约0.1slm、至少为约0.5slm、至少为约1slm、至少为约2slm或至少为约3slm。在这些或其他情况下,氢的流率可为约5slm以下、为约4.5slm以下、为约4slm以下或为约3.5slm以下。在使用氮(例如,N2)的情况下,其示例性流率可高达约30slm,在一些情况下高达约20slm或高达约10slm。
对于具有4站的室而言,该等离子体可在包括约1000与约6000、或约1000及约5000W(对于13.56MHz电容耦合等离子体的HF RF),及约0W与约5000W、或0W与约3000W、或约0W及约2000W(对于400kHz(或50kHz至2MHz)等离子体的LF RF)的RF功率下产生。换言之,该RF功率可在单一频率(仅HF)或二重频率(例如,HF及LF)下提供。在一些情况下,对于具有4站的室而言,可在至少约1000W、至少约2000W或至少约3000W的功率电平下提供对于13.56MHz电容耦合等离子体的HF RF。在这些或其他情况下,对于具有4站的室而言,可在约6000W以下、约5000W以下,在一些情况下为约4000W以下、或为约3000W以下的功率电平下提供用于13.56MHz电容耦合等离子体的HF RF。在这些或其他情况下,可省略LF RF。在其他实施方案中,对于具有4站的室而言,可在至少约1W、至少约10W、至少约50W、至少约100W、至少约500W或至少约1000W的功率电平下提供用于400kHz(或50kHz至2MHz)等离子体的LF RF。在这些或其他情况下,对于具有4站的室而言,可在约5000W以下、约3000W以下或约2000W以下(例如,1500W以下、1000W以下、500W以下或200W以下)的功率电平下提供用于400kHz(或50kHz至2MHz)等离子体的LF RF。这些功率电平适合用于直径为约300mm的衬底,并且可根据不同尺寸的衬底而按比例缩放。示例性频率包括例如13.56MHz、27MHz及60MHz,但这些频率并非为限制性含义。在等离子体暴露操作期间,该等离子体可具有约10%和约100%的工作周期。该等离子体可为任何类型的等离子体。在一些情况下,该等离子体为电容耦合等离子体。在其他情况下,该等离子体为感应耦合等离子体或微波等离子体。在一些实施方案中,该等离子体为远程等离子体。在一些实施方案中,该等离子体系通过电子回旋共振(ECR)而产生。
在杂质减量操作期间,可将反应室中的压强保持在约0.5至约20Torr。在多种实施方案中,该压强可至少为约0.5Torr、至少为约1Torr、至少为约5Torr或至少为约10Torr。在这些或其他情况下,该压强可为约20Torr以下、为约15Torr以下或为约10Torr以下。可将该衬底置于衬底支撑件上,其中该衬底支撑件可为经温度控制的。在一些情况下,在该杂质减量操作期间可例如通过该衬底支撑件将该衬底加热或冷却。示例性衬底支撑件的温度可为约-400℃至约1400℃、约-40℃至约1000℃或约150℃至约650℃。在一些情况下,该衬底支撑件被保持在至少约150℃、至少约200℃、至少约300℃或至少约400℃的温度。在这些或其他情况下,该衬底支撑件可被保持在约650℃以下、约600℃以下、约500℃以下、约400℃以下、约300℃以下或约200℃以下的温度。
在杂质减量操作期间,可将该衬底暴露于等离子体持续约0.2及约120秒。在多种实施方案中,该等离子体暴露的持续时间可至少约0.2秒、至少约0.5秒、至少约0.1秒或至少约0.2秒。在这些或其他实施方案中,该等离子体暴露的持续时间可为约120秒以下、约10秒以下、约1秒以下或约0.5秒以下。在许多情况下是非常迅速地实现所期望的杂质减量,通常少于1秒。这比起上述的现有钝化方法被大幅度地改善(例如,减少处理时间),其中现有钝化方法需要至少5-10秒的暴露时间。
在许多情况下,与无杂质减量的钝化操作相比,该杂质减量操作可在明显较高的氢及惰性气体的流率下执行。该杂质减量操作与无杂质减量的钝化操作之间的其他差异在于省略该等离子体产生气体中的氧。在无杂质减量的钝化操作中是以高流率提供氧。反而,在杂质减量操作中是将氧从等离子体产生气体省略。此外,该杂质减量操作通常是在比无杂质减量的钝化操作更高的RF功率电平下进行。作为所述差异的结果,与无杂质减量的钝化操作相比,该杂质减量操作基本上较有效地从该含硅膜移除氟(例如,在节省时间上较有效率约1至2个数量级),如在实验章节中进一步在下文描述的。有利的是,该杂质减量操作还减小该膜中的碳、氢及氮的浓度。
所公开杂质减量操作的另一优势在于其可在原位执行。换言之,其可在该含硅膜进行沉积的同一反应室中执行。虽然能够在与沉积室分隔开的另一室中进行该杂质减量操作(例如,根据需求将该衬底在室之间传送),但并不需为此目的而具有提供分离室的需求。合适的装置是在装置章节而在下文进一步描述的。
用于沉积操作的工艺条件
如关于图1A-1E所解释的,本文所述的杂质减量操作可在使用各种反应机构的许多不同背景中实施。因此,本文中的实施方案并非意旨被受限在特定组的沉积条件。然而,某些示例性条件被提供作为指引。
在通过涉及原子层沉积循环的方法(例如,关于图1A-1D所描述)而进行沉积的多种实施方案中,可使用下列反应条件中的一或更多者。在配料操作期间,可以以约150sccm至约900sccm的流率将含硅反应物提供至反应室。该含硅反应物被允许吸附至衬底表面上。该含硅反应物可为硅烷,在许多情况下为氨基硅烷。示例性氨基硅烷包括但不限于参(二甲基氨基)硅烷、双(叔丁基氨基)硅烷、1,1,1,3,3,3-六甲基二硅氮烷、环氮硅烷、肆(二甲基氨基)硅烷、三硅基胺、双(二乙基氨基)硅烷等。氨基硅烷包括与硅原子键合的至少一个氮原子,但也可包含氢、氧、卤素及碳。氨基硅烷的示例为单、二、三及四-氨基硅烷(分别为H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3及Si(NH2)4),以及经取代的单、二、三及四-氨基硅烷,例如叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、硅基氨基甲酸叔丁酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3、二(二级丁基氨基)硅烷(DSBAS)、二(异丙基酰胺基)硅烷(DIPAS)、双(二乙基氨基)硅烷(BDEAS)等。氨基硅烷的进一步示例为三硅基胺(N(SiH3)3)。也可以使用各种其他硅烷。
示例性的含硅反应物包括但不限于硅烷、聚硅烷、卤硅烷及氨基硅烷。硅烷包含氢和/或碳基团,但不包含卤素。聚硅烷可具有化学式(H3Si-(SiH2)n-SiH3),其中n≥1。硅烷的示例包括硅烷(SiH4)、二硅烷(Si2H6)、三硅烷、四硅烷及有机硅烷,例如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二-叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基(thexyl)硅烷、异戊基硅烷、叔丁基二硅烷、二-叔丁基二硅烷、四乙基正硅酸盐(也称为四乙氧基硅烷或TEOS)等。
示例性的含硅反应物包括聚硅烷(H3Si-(SiH2)n-SiH3),例如硅烷、二硅烷、三硅烷、四硅烷及三硅基胺,其中n≥1。
Figure BDA0004025028840000161
在一些实施方案中,该含硅反应物为烷氧基硅烷。可使用的烷氧基硅烷使用包括但不限于如下项:
Hx-Si-(OR)y,其中x=1-3,x+y=4,R为经取代或未经取代的烷基;以及
Hx(RO)y-Si-Si-(OR)yHx,其中x=1-2,x+y=3,R为经取代或未经取代的烷基。
含硅反应物的示例包括:甲基硅烷;三甲基硅烷(3MS);乙基硅烷;丁硅烷;五硅烷;八硅烷;七硅烷;六硅烷;环四硅烷;环七硅烷;环六硅烷;环八硅烷;环五硅烷;1,4-二噁-2,3,5,6-四硅环己烷;二乙氧基甲基硅烷(DEMS);二乙氧基硅烷(DES);二甲氧基甲基硅烷;二甲氧基硅烷(DMOS);甲基-二乙氧基硅烷(MDES);甲基-二甲氧基硅烷(MDMS);八甲氧基十二硅氧烷(OMODDS);叔丁氧基二硅烷;四甲基环四硅氧烷(TMCTS);四氧基甲基环四硅氧烷(TOMCTS);三乙氧基硅烷(TES);三乙氧基硅氧烷(TRIES);以及三甲氧基硅烷(TMS或TriMOS)。
在一些实施方案中,含硅前体可为具有氢原子的氨基硅烷,例如双二乙基氨基硅烷、二异丙基氨基硅烷、叔丁基氨基硅烷(BTBAS)或三(二甲基氨基)硅烷(3DMAS)。氨基硅烷前体包括但不限于如下项:Hx-Si-(NR)y,其中x=1-3,x+y=4,而R为有机或氢化物基团。
在一些实施方案中,可使用含卤素硅烷或卤硅烷,使该硅烷包括至少一个氢原子。卤硅烷可具有化学式SiXaHy,其中X=Cl、F、I或Br,且a+y=4,其中a≥1。卤硅烷可具有化学式SiXaHy(CH3)z,其中X=Cl、F、I或Br,且a+y+z=4,其中a≥1。卤硅烷的示例为碘硅烷、溴硅烷、氯硅烷及氟硅烷。虽然卤硅烷(尤其是氟硅烷)可形成可蚀刻硅材料的反应性卤化物物质,但在本文所述的某些实施方案中,当点燃等离子体时并不存在该含硅前体。特定氯硅烷为四氯硅烷(SiCl4)、三氯硅烷(HSiCl3)、二氯硅烷(H2SiCl2)、单氯硅烷(ClSiH3)、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二-叔丁基氯硅烷、氯异丙基硅烷、氯-仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷、单氯三甲基硅烷等。
含硅反应物的示例包括硅氧烷、烷基硅烷或经碳氢化合物取代硅烷、或含氮含碳反应物。示例性的硅氧烷包括线性硅氧烷、环状硅氧烷及笼状硅氧烷。示例硅氧烷包括2,4,6,8-四甲基环四硅氧烷(TMCTS)、七甲基环四硅氧烷(HMCTS)、倍半硅氧烷、二硅氧烷(例如五甲基二硅氧烷(PMDSO)及四甲基二硅氧烷(TMDSO))、以及三硅氧烷,例如六甲基三硅氧烷、七甲基三硅氧烷。烷基硅烷包括中心硅原子,其中一或更多烷基与该中心硅原子键合,以及一或更多氢原子与该中心硅原子键合。在一些实施方案中,烷基中的任何一或更多者包含1-5个碳原子。碳氢化合物基团可为饱和或不饱和的(例如,烯(例如,乙烯基)、炔以及芳香族基团)。示例包括但不限于三甲基硅烷(3MS)、三乙基硅烷、五甲基二硅甲烷((CH3)2Si-CH2-Si(CH3)3)及二甲基硅烷(2MS)。此外,可使用二硅烷、三硅烷或其他较高硅烷以代替单硅烷。在一些实施方案中,硅原子中的一个可具有与其附接的含碳或碳氢化合物基团,而硅原子中的一个具有与其附接的氢原子。包括氮的示例性含碳反应物包括经甲基取代的二硅氮烷及三硅氮烷,例如四甲基二硅氮烷及六甲基三硅氮烷。
含硅反应物的示例包括硅氧烷,例如环四硅氧烷(例如,七甲基环四硅氧烷(HMCTS)及四甲基环四硅氧烷)。其他环状硅氧烷还可包括但不限于环三硅氧烷及环五硅氧烷。对于氧掺杂硅碳化物膜的沉积而言,合适前体的其他示例包括线性硅氧烷例如但不限于二硅氧烷,例如五甲基二硅氧烷(PMDSO)、四甲基二硅氧烷(TMDSO)、六甲基三硅氧烷及,及七甲基三硅氧烷。对于未掺杂的硅碳化物而言,合适前体的示例包括被例如包含1-5个碳原子的一个或更多烷基、烯和/或炔基所取代的单硅烷。示例包括但不限于三甲基硅烷(3MS)、二甲基硅烷(2MS)、三乙基硅烷(TES)及五甲基二硅甲烷。可使用二硅烷、三硅烷或其他较高硅烷以代替单硅烷。来自烷基硅烷分类的所述二硅烷中的一示例为六甲基二硅烷(HMDS)。来自烷基硅烷分类的其他二硅烷示例可包括五甲基二硅烷(PMDS)。其他类型的烷基硅烷可包括烷基碳硅烷,其可具有分枝聚合结构,其中碳是与硅原子键合以及烷基是与硅原子键合。示例包括二甲基三甲基硅基甲烷(DTMSM)以及双-二甲基硅基乙烷(BDMSE)。对于氮掺杂硅碳化物(SiNC)膜的沉积而言,合适前体的示例包括例如烷基二硅氮烷,以及包括各自与一个或更多硅原子键合的氨基(-NH2)及烷基的可能化合物。烷基二硅氮烷包括硅氮烷及与二硅原子键合的烷基团。示例包括1,1,3,3-四甲基二硅氮烷(TMDSN)。可将惰性气体伴随着含硅反应物而提供。在一示例中,以约1-20slm的速率提供氩,且以约0slm至约30slm的速率提供氮(例如,N2)。此外,可以以约0slm至约5slm的流率提供氢(H2)。可将反应室中的压强保持在约0.6Torr至约20Torr。可将该衬底设置在温度受控制的衬底支撑件上,其中该衬底支撑件可被保持在约150℃至约650℃的温度。
在清扫操作及第二清扫操作期间,可将该反应室抽空和/或以非反应性气体进行扫除以移除未吸附反应物及反应副产物。同样,在清扫气体中可存在其他气体。在一示例中,该清扫气体包括流率为约1slm至约20slm的氩、流率为约0slm至约30slm的氮(例如,N2)、流率为约0slm至约5slm的氢(例如,H2)、流率为约0slm至约5slm的氧(例如,O2)、及流率为约0slm至约5slm的氧化亚氮(例如,N2O)。室压力及衬底支撑件温度可如同上方关于配料操作所描述的。
在氧化操作期间将衬底表面氧化并以RF等离子体进行清洁。气体可流动至反应室,其中该气体包括至少一种含氧反应物。示例性的含氧反应物包括但不限于氧(O2)、氧化亚氮(N2O)、臭氧(O3)、一氧化碳(CO)、二氧化碳(CO2)等。同样地,可提供其他气体。在一示例中,在氧化操作期间可提供下列气体:流率为约1-20slm的氩、流率为约0slm至约30slm的氮(例如,N2)、流率为约0slm至约5slm的氢(例如,H2)、流率为约0.5slm至约5slm的氧(例如,O2),以及流率为约0slm至约5slm的氧化亚氮(例如,N2O)。对于4-站室而言,可提供约500W至约6000W的范围内的RF功率。压力及温度可如同上方关于配料操作所描述的。
在使用抑制操作(例如,参见图1C的抑制操作110)的情况下,可在该抑制操作期间使用下列条件中的一或更多者。可以以介于约10sccm至约300sccm的流率将抑制气体提供至反应室。抑制气体物质的示例包括氮物质、卤素物质及氢物质。然而,可使用吸附至该衬底表面上、与该衬底表面反应或以其他方式与该衬底表面产生交互作用而使其钝化并抑制后续沉积的任何物质。在多种实施方案中,该抑制操作可基于等离子体抑制或基于热抑制的。在基于等离子体抑制期间将该结构暴露于从抑制气体产生的等离子体,以形成抑制剂物质,也称其为抑制物质。抑制气体的示例包括含氮气体、含卤素气体及含氢气体。特定示例包括三氟化氮(NF3)、分子氮(N2)、分子氢(H2)、氨(NH3)、胺、二醇、二胺、氨基醇、硫醇或其组合。在一些实施方案中,该抑制气体为含氟气体,例如NF3、CHxFy,其中x+y=4而y为大于或等于1的整数,及六氟化硫(SF6)。在一些实施方案中,该抑制操作可为基于热的抑制工艺。举例而言,可通过在抑制物质与衬底表面进行反应(例如,在该抑制操作期间不将衬底暴露于等离子体)的条件下将该结构暴露于包括抑制物质的气体而执行该抑制操作。
在一些实施方案中,抑制等离子体系产生自含卤素气体。示例包括NF3、CH3F、CH2F2、CHF3、CF4、SF6、CH3Cl、CH2Cl2、CHCl3及CCl4。这在间隙的深度各处(但绝大多数是在侧壁的顶部附近)形成-F、-Cl或其他卤素终端表面,其中所述卤素终端表面是使表面钝化并抑制后续沉积。在抑制操作110期间,该含卤素气体或其他抑制气体对于室或其他等离子体产生空间可为约0.5%至约10%、约1至约5%、或约2%的总容积流量,其中剩余流量为例如N2、Ar及He之类的惰性气体。
对于4-站室而言,在使用等离子体的情况下,可在约500W至约2000W的RF功率电平下产生等离子体。可将该衬底暴露于该等离子体持续约0.1秒至约10秒。衬底支撑件温度可为约200℃至约650℃。反应室中的压强可为约0.6Torr至约10Torr。
在使用蚀刻操作(例如,参见图1D中的蚀刻操作120)的情况下,可在该蚀刻操作期间使用下列条件中的一或更多者。可以以约50sccm至约500sccm的流率将蚀刻气体提供至反应室。在一示例中,该蚀刻气体包括NF3。在其他情况下,可使用其他蚀刻气体。在多种实施方案中,该蚀刻气体可包括氮和/或卤素,例如氟或氯。同样地可将其他气体提供至该反应室,例如上方关于抑制操作110所描述的一种或更多气体。通常,可将许多相同气体使用于进行抑制及蚀刻,其中较低流率通常会造成抑制,而较高流率通常会造成蚀刻。可将该衬底暴露于由蚀刻气体和/或其他气体所产生的等离子体。对于4-站室而言,该等离子体可产生于约750W至约8000W的RF功率电平。可将该衬底暴露于等离子体持续约1秒至约300秒。该衬底支撑件温度可为约200:℃至约650℃。反应室中的压力可为约0.6Torr至约2Torr。
在通过化学气相沉积进行沉积的情况下(例如,参见图1E),可使用下列条件中的一或更多者。可将该衬底同时暴露于第一反应物(例如,含硅反应物,如上述的任何含硅反应物)及第二反应物(例如,含氧反应物,如上述的任何含氧反应物)。同时,可将该衬底暴露于能量源(例如,热能和/或等离子体能量)以驱动该第一与第二反应物之间的气相反应。此反应制造出含硅材料,其沉积于衬底表面上。可以以约150sccm至约900sccm的流率提供该含硅反应物。可以以约500sccm至约20,000sccm的流率提供该含氧反应物。可将反应室中的压强维持在约2Torr至约20Torr。当该反应通过热能而驱动时,可将衬底支撑件维持在约550℃至约650℃的温度。当该反应通过等离子体能量而驱动时,可将该衬底支撑件维持在约200℃至约650℃的温度,且对于4-站室而言可在约750W至约5000W的RF功率电平下产生该等离子体。在各循环期间(例如,如图1E中所示,各循环包括反应物输送操作130及杂质减量操作105),可将该衬底暴露于该第一及第二反应物,以及暴露于驱动该反应的能量源持续约0.1秒至约10秒。
装置
本文中的实施方案可实施于任何合适装置上。合适装置将包括至少处理室、用于在该处理室中产生等离子体的等离子体产生器、以及被配置以进行本文所述的一种或更多方法的控制器。
图2示意性显示了处理站200的实施方案,该处理站200可用于使用原子层沉积(ALD)和/或化学气相沉积(CVD)而沉积材料,其中ALD及CVD中的任何一者可由热能或等离子体能量所驱动。处理站200可用于实施本文所述的任何实施方案,包括例如图1A-1E中所显示的实施方案。为简单起见,处理站200被描绘为具有用于维持低压环境的处理室主体202的独立处理站。然而,应当理解,多个处理站200可以包括在共同的处理工具环境中。此外,应当理解,在一些实施方案中,可以由一个或多个计算机控制器以编程方式调整处理站200的一个或多个硬件参数,包括下面详细讨论的那些硬件参数。
处理站200与反应物输送系统201流体连通,以将工艺气体输送至分配喷头206。反应物输送系统201包含任选的混合容器204,混合容器204用于共混和/或调节工艺气体以输送至喷头206。一个或多个混合容器入口阀220可以对工艺气体导入至混合容器204进行控制。类似地,喷头入口阀205可对工艺气体导入至喷头206进行控制。在另一示例中,反应物输送系统201可以保持反应物彼此分离,直到递送到室体202内部。
一些反应物(例如BTBAS)可以在处理站汽化之前以及随后输送到处理站之前以液体形式储存。例如,图2的实施方案包含汽化点203,汽化点203用于汽化将供应至混合容器204的液体反应物。在一些实施方案中,汽化点203可以是加热的蒸发器。从这样的蒸发器产生的反应物蒸气会在下游输送管道凝结。不兼容气体暴露于凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或抽空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点203下游的输送管道可以被热追踪。在一些示例中,混合容器204也可以被热追踪。在一个非限制性示例中,汽化点203下游的管道具有从约100℃升高至在混合容器204处的约150℃的升高的温度分布。
在一些实施方案中,反应物液体可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一种情况中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一情况中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。应当理解,较小的液滴可比较大的液滴更快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点203下游的管道长度。在一种情况中,液体喷射器可以直接装载到混合容器204。在另一情况中,液体喷射器可以直接装载到喷头206。
在一些实施方案中,可以在汽化点203上游设置液体流控制器来控制用于汽化并输送至处理站200的液体的质量流量。例如,液体流控制器(LFC)可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号来调节LFC的柱塞阀。然而,其可以采取一秒或更长时间来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,LFC可以通过禁用LFC的感测管道和PID控制器来从反馈控制模式动态切换到直接控制模式。
喷头206朝衬底212分配工艺气体。在图2所示的实施方案中,衬底212位于喷头206下方,并且示出为安置在基座208上。应当理解,喷头206可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将工艺气体分配至衬底212。
在一些实施方案中,微体积207位于喷头206下方。在微体积中而不是在处理站的整个体积中执行ALD和/或CVD工艺可以减少反应物暴露和清扫时间,可以减少改变工艺条件(例如,压力、温度等)的时间,可以限制处理站机械手对工艺气体的暴露等。示例性的微体积大小包括但不限于0.1升和2升之间的容积。这个微量体积也影响生产力吞吐量。当每个循环的沉积速率下降时,循环时间也同时减少。在某些情况下,对于给定的目标膜厚度,循环时间减少的效果足够显著以提高模块的总体产量。
在一些实施方案中,可以升高或降低基座208以使衬底212暴露于微体积207和/或改变微体积207的体积。例如,在衬底传送阶段中,可以降低基座208以使得衬底212能被加载在基座208上。在沉积工艺阶段期间,可以升高基座208以将衬底212定位在微体积207内。在一些实施方案中,微体积207可以完全包围衬底212以及基座208的一部分以在沉积工艺期间形成高流阻抗的区域。
任选地,基座208可以在沉积工艺的部分期间降低和/或升高,以调节微体积207内的工艺压力、反应物浓度等。在一种使处理室主体202在沉积工艺期间保持在基础压力下的情况下,降低基座208可以使得微体积207能被抽空。微量体积与处理室体积的示例性比率包括但不限于约1:200至约1:10之间的体积比。应当理解,在一些实施方案中,可以通过合适的计算机控制器以编程方式调整基座高度。
在另一种情况下,调整基座208的高度可以允许在包括在沉积工艺中的等离子体激活和/或处理循环期间改变等离子体密度。在沉积工艺阶段结束时,基座208可以在另一个衬底转移阶段期间降低以允许从基座208移除衬底212。
尽管这里描述的示例性微体积变化涉及高度可调节的基座,但是应当理解,在一些实施方案中,喷头206的位置可以相对于基座208调节以改变微体积207的体积。此外,应当理解的是,基座208和/或喷头206的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座208可包括用于使衬底212的方位旋转的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器以编程方式执行。
回到图2所示的实施方案,喷头206和基座208与RF功率源214和匹配网络216电通信以用于对等离子体供电。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源214和匹配网络216可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例被包含在上文中。类似地,RF功率源214可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源214可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可包括但不限于,介于约50kHz至约200kHz之间的频率。示例性的高频RF频率可包括但不限于,介于约1.8MHz至约2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。在一个非限制性示例中,相对于被连续供电的等离子体,可对等离子体功率间歇地施以脉冲,以减少对衬底表面的离子轰击。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情况中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或工艺气体浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自此类原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。此类监控器可包括但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体处理阶段的等离子体条件的指令可被包含在沉积工艺配方或杂质减量工艺配方的相应的等离子体激活配方阶段中。在一些情况下,工艺配方阶段可按顺序排列,使得用于沉积工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可包括用于设定惰性气体和/或反应物气体的流速的指令,用于将等离子体产生器设定到功率设定点的指令,以及用于第一配方阶段的时间延迟指令。第二后续配方阶段可以包括用于启用等离子体产生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体产生器的指令和用于第三配方阶段的时间延迟指令。应当理解,可以在本公开的范围内以任何合适的方式进一步细分和/或迭代这些配方阶段。
在一些沉积工艺中,等离子体激励持续大约几秒或更长的持续时间。在某些实现方案中,可以使用更短的等离子体激励。这些可以在10毫秒到1秒的量级上,典型地,约20到80毫秒,其中50毫秒是一个具体示例。这种非常短的RF等离子体激励需要非常快速地稳定等离子体。为了实现这一点,等离子体发生器可以被配置为使得阻抗匹配被预设为特定电压,同时允许频率浮动。高频等离子体可以以约13.56MHz的RF频率产生。在本文公开的各种实施方案中,允许频率浮动到与该标准值不同的值。通过在将阻抗匹配固定到预定电压时允许频率浮动,等离子体可以远远更快地稳定,当使用与某些类型的沉积循环相关的非常短的等离子体激励时,这一结果可能很重要。
在一些实施方案中,基座208可以通过加热器210进行温度控制。此外,在一些实施方案中,沉积处理站200的压力控制可以由蝶形阀218提供。如图2的实施方案所示,蝶形阀218节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,还可以通过改变引入处理站200的一种或多种气体的流速来调节处理站200的压力控制。
可将一或更多处理站包括于多站处理工具中。图3显示多站处理工具300的实施方案的示意图,该多站处理工具300具有入站(inbound)装载锁302以及出站(outbound)装载锁304,且其中一者或两者可包括远程等离子体源。处于大气压力下的机械手306被配置成将衬底或晶片从通过传送盒308进行装载的晶舟通过大气端口310进到入站装载锁302中。通过机械手306将衬底放置在入站装载锁302中的基座312上,将大气端口310关闭并且将装载锁进行抽气。在该入站装载锁302包括远程等离子体源的情况下,可在衬底被引导至处理室314中之前将该衬底暴露于装载锁内的远程等离子体处理。此外,还可在入站装载锁302中对衬底进行加热,以例如移除湿气及所吸附的气体。接下来,开启通往处理室314的室传输端口316,且另一机械手(未显示)将衬底放入反应器中且位于该反应器中所显示的第一站的基座上以进行处理。虽然在图3中所绘示的实施方案系包括装载锁,但应理解的是,在一些实施方案中,可将晶片直接提供至处理站中。在多种实施方案中,在通过机械手306将衬底放置在基座312上时是将浸泡气体引导至该站。
所示出的处理室314包括四个处理站,其在图3中所显示的实施方案中是从1到4进行编号。各站具有加热式基座(显示为站1的318)以及气体管线入口。应理解的是,在一些实施方案中,各处理站可具有不同或多个用途。举例而言,在一些实施方案中,处理站可在ALD及PEALD处理模式之间切换。另外地或替代地,在一些实施方案中,处理室314可包括ALD及等离子体增强ALD处理站的一或更多匹配对。虽然所示出的处理室314包括四个站,但应理解的是,根据本公开的处理室可具有任何合适数量的站。举例来说,在一些实施方案中,处理室可具有五或更多站;而在其他实施方案中,处理室可具有三或更少站。
图3描绘了晶片搬运系统390的实施方案以在处理室314内传输衬底。在一些实施方案中,晶片搬运系统390可在各种处理站之间、和/或在处理站与装载锁之间传输衬底。应理解的是,可使用任何合适的晶片搬运系统。非限制性示例包括晶片旋转盘(carousel)及晶片搬运机械手。图3还示出了系统控制器350的实施方案,该系统控制器350被配置成控制处理工具300的工艺条件与硬件状态。系统控制器350可包括一或更多存储器设备356、一个或更多大量储存设备354以及一个或更多处理器352。处理器352可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进马达控制器板等。在一些实施方案中,系统控制器350包括执行操作(例如,本文中所述的操作)所用的机器可读指令。
在一些实施方案中,系统控制器350控制着处理工具300的所有活动。系统控制器350执行系统控制软件358,其中该系统控制软件358被储存在大量储存设备354中、加载至存储器设备356中以及在处理器352上执行。替代地,可将控制逻辑硬编码于系统控制器350中。专用集成电路、可编程逻辑装置(例如,场域可编程门阵列、或FPGA)等可为了这些用途而使用。下列讨论中,无论在何处使用“软件”或“代码”,均可在该处使用功能性相当的硬编码逻辑。系统控制软件358可包括指令,所述指令用于控制:时序、气体混合、气流量、室和/或站的压力、室和/或站的温度、衬底温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或承受器位置、以及由处理工具300所执行的特定工艺的其他参数。系统控制软件358可以以任何合适的方式进行配置。举例而言,可将各种处理工具部件的子程序或控制对象进行编写,以对根据所公开方法执行各种处理工具的处理所需的处理工具部件的操作进行控制。系统控制软件358可在任何合适的计算机可读编程语言中进行编码。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
实验
在若干实验的过程中,本案发明人确认本文所述的方法可用于使硅氧化物膜中的杂质(例如,F、C、H和/或N)大幅减少。即使在远远较短的处理时间下,这些结果显示出比起先前标准钝化方法的显著改善(例如,对于氟浓度的减量多出1至2个数量级)。
图4A-4C显示了在根据一些方法所沉积的硅氧化物膜的不同深度下的氟浓度。图4A显示了与仅使用ALD(例如,类似于图1A及1B中显示的方法,但无杂质减量操作105)所沉积的硅氧化物膜相关的结果。在图4A的仅ALD示例中,硅氧化物膜中的氟浓度为约1E17原子/cc的数量级。图4A中的结果不仅显示膜中的氟浓度,还显示碳、氢、氮、硅及氧的浓度。图4B显示了与使用沉积-蚀刻-沉积方案而沉积的三个硅氧化物膜以及无任何蚀刻或钝化操作而沉积的一个膜相关的结果,其中该沉积-蚀刻-沉积方案还使用无杂质减量的定期钝化操作(例如,类似于图1D中显示的方法,但是使用无杂质减量的钝化操作而不是杂质减量操作105)。在图4B的示例中,硅氧化物膜中的氟浓度为约1E18-1E19原子/cc的数量级,且其最高值位于将该沉积停止以例如执行该蚀刻操作的接口/深度处。图4C显示了与使用沉积-蚀刻-沉积方案而沉积的两个硅氧化物膜401及402相关的结果,其中该沉积-蚀刻-沉积方案还使用无杂质减量的定期钝化操作,其中该沉积操作是以高密度等离子体(HDP)驱动。在此示例中,该膜中的氟浓度为约5E19原子/cc的数量级。
图5A及5B显示了根据本文所述各种技术而沉积的硅氧化物膜中的不同深度处的氟、碳、氢、氮、硅及氧浓度。在图5A中,该硅氧化物膜是在无任何杂质减量操作的情况下使用连续热CVD沉积的。在图5B中,该硅氧化物膜是使用关于图1E所描述的经修改CVD技术沉积的,其中在整个沉积过程中定期执行杂质减量操作。该杂质减量操作与化学气相沉积操作交替进行。图5A及5B中的结果总结于图6及下方的表1及表2中。
图6显示了关于图5A及5B所描述而沉积的硅氧化物膜中的碳、氢及氮浓度。工艺A涉及图5A中显示的结果,其中该沉积是根据不涉及杂质减量操作的连续热化学气相沉积技术而进行的。工艺B涉及图5B中显示的结果,其中该沉积是根据涉及在沉积期间定期执行杂质减量操作的经修改化学气相沉积技术(如关于图1E所描述)而进行。图6中所报导的浓度为平均浓度,不包括该硅氧化物膜的非常顶边缘及非常底边缘。如图6中所显示的,该杂质减量操作对于硅氧化物膜中的氢浓度(例如,从约7.70%H降低至约0.52%H),以及该膜中的碳浓度(例如,从约2.68%C降低至约0.12%C)具有显著效果。该杂质减量操作还对于硅氧化物膜中的氮浓度具有能注意到的效果(例如,从约1.88%N降低至约0.97%N),但此效果并不像对于氢及碳浓度的效果一样显著。图6中所报导的数值是基于RBS及SIMS数据。工艺A制造出约30.3%硅及约62.0%氧(例如,总共约92.3%硅及氧)的硅氧化物膜。相对地,工艺B制造出约33.3%硅及66.1%氧(例如,总共约99.4%硅及氧)的硅氧化物膜。换言之,工艺B所制造的膜比工艺A所制造的膜明显更为纯净。
表1显示了将图6的工艺A和工艺B进行比较时的不同杂质浓度。再次重申,工艺A涉及图5A中显示的结果,而工艺B涉及图5B中显示的结果。这些结果总体上与图6中的结果匹配,显示出在沉积期间使用杂质减量操作的情况下对于氢浓度及碳浓度的显著减量,以及对于氮浓度的较适度减量。
表1
杂质工艺A的杂质浓度(原子/cc)工艺C的杂质浓度(原子/cc)
H1.53E211.13E20
C5.33E202.66E19
N3.74E202.12E20
F4.96E+181.40E+16
表2呈现出表1中显示的不同杂质的浓度,其中浓度是以原子%而非原子/cc进行报导。表2中的数值与图6中报导的数值匹配,且进一步包括与各膜中的氟浓度相关的信息。
表2
杂质工艺A的杂质浓度(原子%)工艺C的杂质浓度(原子%)
H7.700.52
C2.680.12
N1.880.97
F0.30.00
本章节所提供的这些结果可用于对根据无杂质减量的方法所沉积的硅氧化物膜,以及根据所公开的实施方案而沉积的硅氧化物膜进行定量比较。一般而言,杂质减量操作降低93%的氢浓度、降低95%的碳浓度、降低43%的氮浓度以及降低99.7%的氟浓度。这些杂质减量是显著的。
在多种实施方案中,硅氧化物膜可具有下列性质中的任何一或更多者。氟的浓度可为约1E16原子/cc的数量级。在一些情况下,氟浓度可为约9E16原子/cc以下、为约5E16原子/cc以下、为约2E16原子/cc以下或为约1E16原子/cc以下。氟的浓度可为约0.3%(原子%)以下或为约~1E-5%(原子%)以下。碳的浓度可为约9E19原子/cc以下或为约5E19原子/cc以下。碳的浓度可为约2%(原子%)以下、为约1%(原子%)、为约0.5%(原子%)以下或为约0.25%(原子%)以下。氮的浓度可为约3E20原子/cc以下。氮的浓度可为约1.5%(原子%)以下或为约1%(原子%)以下。
图7A及7B呈现出FTIR数据,其中该数据确认定期杂质减量操作使硅氧化物膜中的氢浓度显著降低(图7A),以及该硅氧化物膜中的硅及氧浓度增加(图7B)。在图7A及7B,“Stddep”指不涉及杂质减量操作的沉积,而“定期处理”指涉及定期执行上述杂质减量操作的沉积。氢相关的FTIR峰将预期位于约3675cm-1(关于-OH伸缩)、3300cm-1(关于-OH伸缩)及1615cm-1(关于H-O-H伸缩)的波数处。如图7A中所显示的,未使用杂质减量操作的沉积显示位于这些波数的各者处的能注意到的峰,表示在该硅氧化物膜中存在显著的氢含量。相对地,在使用杂质减量操作的情况下,这些峰实质消失,表示氢浓度是远远较低的。硅相关及氧相关的峰将预期位于约1200cm-1(关于Si-O伸缩)、1075cm-1(关于Si-O-Si伸缩)、815cm-1(关于Si-O弯曲)及460cm-1(涉及Si-O在平面外)的波数处。如图7B中所显示的,无杂质减量的沉积以及涉及杂质减量操作的沉积两者均显示位于这些波数处的峰。然而,在使用杂质减量操作的情况下的峰是较高的,表示在该硅氧化物膜中存在相对较多的硅及氧。换言之,存在较少杂质,而该硅氧化物膜是较纯的。
结论
尽管为了清楚理解的目的已经对前述实施方案进行了一些详细的描述,但是显然可以在所附权利要求的范围内实施某些改变和修改。应该注意,有许多替代方式来实现本实施方案的工艺、系统和装置。因此,本实施方案被认为是说明性的而非限制性的,并且实施方案不限于本文给出的细节。

Claims (10)

1.一种在衬底上沉积经掺杂或未经掺杂的含硅膜的方法,该方法包括:
(a)将所述衬底暴露于第一反应物,其中所述第一反应物为含硅反应物;
(b)使至少所述第一反应物在第一等离子体中进行反应以形成经掺杂或未经掺杂的含硅材料,以及在所述衬底上沉积一部分的所述经掺杂或未经掺杂的含硅膜,所述经掺杂或未经掺杂的含硅膜具有第一杂质浓度;
(c)在所述部分的所述经掺杂或未经掺杂的含硅膜的沉积完成之前,执行杂质减量操作,所述杂质减量操作包括:
(i)从等离子体产生气体产生第二等离子体,其中所述等离子体产生气体包括惰性气体和氢(H2),以及
其中所述等离子体产生气体基本上无氧(O2),以及
(ii)将所述衬底暴露于所述第二等离子体,以将所述经掺杂或未经掺杂的含硅膜中的所述第一杂质浓度减小至第二杂质浓度;以及
(d)将(a)与(b)或(c)中的至少一者重复进行,直到将所述经掺杂或未经掺杂的含硅膜沉积至最终厚度。
2.根据权利要求1所述的方法,其中所述杂质选自于由氟、碳、氢、氮及其组合所构成的群组。
3.根据权利要求1所述的方法,其中所述第二反应物为含氧反应物。
4.根据权利要求1所述的方法,其还包括(e)将所述衬底暴露于第二反应物,其中使至少所述第一反应物进行反应包括:使所述第一反应物与所述第二反应物进行反应,以形成所述经掺杂或未经掺杂的含硅材料,其中将(a)与(b)或(c)的其中至少一者重复进行还包括重复进行(e)。
5.根据权利要求4所述的方法,其中所述第一反应物和所述第二反应物以暂时分隔的脉冲被引导至包括所述衬底的室。
6.根据权利要求4所述的方法,其中所述第一反应物和所述第二反应物同时被引导至包括所述衬底的室。
7.根据权利要求1所述的方法,其中所述经掺杂或未经掺杂的含硅膜被沉积于在所述衬底的表面中所形成的凹陷特征中,所述方法还包括在所述经掺杂或未经掺杂的含硅膜完全填充所述凹陷特征之前,将所述衬底暴露于蚀刻化学品以对所述凹陷特征中的所述经掺杂或未经掺杂的含硅膜的顶部进行蚀刻。
8.根据权利要求1所述的方法,其中所述经掺杂或未经掺杂的含硅膜被沉积于在所述衬底的表面中所形成的凹陷特征中,所述方法还包括将所述衬底暴露于抑制化学品,以比起所述凹陷特征的底部和中间而选择性抑制所述凹陷特征的顶部附近的沉积。
9.根据权利要求1至8中任一项所述的方法,其中所述第二杂质浓度小于所述第一杂质浓度的1/10。
10.一种在衬底上沉积经掺杂或未经掺杂的含硅膜的装置,该装置包括:
处理室;
输入口,其通向所述处理室以将反应物引导至所述处理室;
等离子体产生器,其用于在所述处理室中产生等离子体;以及
控制器,其被配置成致使本文所述的方法中的任一项进行。
CN202180046339.3A2020-07-282021-07-27含硅膜中的杂质减量PendingCN115735261A (zh)

Applications Claiming Priority (3)

Application NumberPriority DateFiling DateTitle
US202062706033P2020-07-282020-07-28
US62/706,0332020-07-28
PCT/US2021/070988WO2022027016A1 (en)2020-07-282021-07-27Impurity reduction in silicon-containing films

Publications (1)

Publication NumberPublication Date
CN115735261Atrue CN115735261A (zh)2023-03-03

Family

ID=80036782

Family Applications (1)

Application NumberTitlePriority DateFiling Date
CN202180046339.3APendingCN115735261A (zh)2020-07-282021-07-27含硅膜中的杂质减量

Country Status (5)

CountryLink
US (1)US12412742B2 (zh)
KR (1)KR20230043795A (zh)
CN (1)CN115735261A (zh)
TW (1)TW202223133A (zh)
WO (1)WO2022027016A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
CN114207184A (zh)2019-08-062022-03-18朗姆研究公司含硅膜的热原子层沉积

Family Cites Families (426)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
FR93097E (fr)1965-10-111969-02-07IbmProcédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en)1977-02-141979-06-19Varian Associates, Inc.Silicon nitride film and method of deposition
US4419809A (en)1981-12-301983-12-13International Business Machines CorporationFabrication process of sub-micrometer channel length MOSFETs
US4500563A (en)1982-12-151985-02-19Pacific Western Systems, Inc.Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en)1983-11-041986-03-18General Motors CorporationSilicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en)1987-02-021994-03-01Chorng-Ping ChangProcess for producing devices containing silicon nitride films
EP0313683A1 (en)1987-10-301989-05-03International Business Machines CorporationMethod for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en)1990-09-281995-05-30The United States Of America As Represented By The Secretary Of The NavyMethod of fabricatring sub-half-micron trenches and holes
US5091332A (en)1990-11-191992-02-25Intel CorporationSemiconductor field oxidation process
WO1992012535A1 (fr)1991-01-081992-07-23Fujitsu LimitedProcede de formation d'une couche en oxyde de silicium
US5202272A (en)1991-03-251993-04-13International Business Machines CorporationField effect transistor formed with deep-submicron gate
US5230929A (en)1992-07-201993-07-27Dow Corning CorporationPlasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en)1993-09-221996-03-05Brother Kogyo Kabushiki KaishaOptical recording medium
US5528719A (en)1993-10-261996-06-18Sumitomo Metal Mining Company LimitedOptical fiber guide structure and method of fabricating same
US20010028922A1 (en)1995-06-072001-10-11Sandhu Gurtej S.High throughput ILD fill process for high aspect ratio gap fill
US6342277B1 (en)1996-08-162002-01-29Licensee For Microelectronics: Asm America, Inc.Sequential chemical vapor deposition
US5670432A (en)1996-08-011997-09-23Taiwan Semiconductor Manufacturing Company, Ltd.Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en)1996-10-301998-03-24Micron Technology, Inc.Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en)1996-12-131999-04-06Intel CorporationMethod of forming contacts
US6039834A (en)1997-03-052000-03-21Applied Materials, Inc.Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en)1997-03-312000-11-28Motorola, Inc.Method of forming a barrier layer
US6225175B1 (en)1997-06-202001-05-01Texas Instruments IncorporatedProcess for defining ultra-thin geometries
US5854105A (en)1997-11-051998-12-29Vanguard International Semiconductor CorporationMethod for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en)1997-11-171999-01-05Taiwan Semiconductor Manufacturing Company, Ltd.Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en)1998-01-091999-11-02Micron Technology, Inc.Method for optimization of thin film deposition
US6080676A (en)1998-09-172000-06-27Advanced Micro Devices, Inc.Device and method for etching spacers formed upon an integrated circuit gate conductor
US6380056B1 (en)1998-10-232002-04-30Taiwan Semiconductor Manufacturing CompanyLightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en)1998-10-232001-03-06Taiwan Semiconductor Manufacturing CompanyLightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en)1998-11-062001-05-08Novellus Systems, Inc.Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en)1999-01-072002-06-11Taiwan Semiconductor Manufacturing CompanyMethod for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
KR100273473B1 (ko)1999-04-062000-11-15이경수박막 형성 방법
US6313042B1 (en)1999-09-032001-11-06Applied Materials, Inc.Cleaning contact with successive fluorine and hydrogen plasmas
KR100340716B1 (ko)1999-10-292002-06-20윤종용실리콘 질화막 형성방법
KR100742473B1 (ko)1999-11-022007-07-25동경 엘렉트론 주식회사제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법
KR100338125B1 (ko)1999-12-312002-05-24구본준, 론 위라하디락사박막 트랜지스터 및 그 제조방법
JP5016767B2 (ja)2000-03-072012-09-05エーエスエム インターナショナル エヌ.ヴェー.傾斜薄膜の形成方法
EP1277233A2 (en)2000-04-252003-01-22Tokyo Electron CorporationMethod of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100366621B1 (ko)2000-06-282003-01-09삼성전자 주식회사반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en)2000-07-192003-10-14International Business Machines CorporationSelf-trimming method on looped patterns
US6548368B1 (en)2000-08-232003-04-15Applied Materials, Inc.Method of forming a MIS capacitor
US6416822B1 (en)2000-12-062002-07-09Angstrom Systems, Inc.Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko)2000-12-062003-06-02삼성전자주식회사원자층 증착 방법에 의한 박막 형성 방법
US6878402B2 (en)2000-12-062005-04-12Novellus Systems, Inc.Method and apparatus for improved temperature control in atomic layer deposition
US6428859B1 (en)2000-12-062002-08-06Angstron Systems, Inc.Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en)2001-02-222003-10-14Applied Materials, Inc.Process for forming a low dielectric constant carbon-containing film
US6448192B1 (en)2001-04-162002-09-10Motorola, Inc.Method for forming a high dielectric constant material
JP3696119B2 (ja)2001-04-262005-09-14株式会社日立製作所半導体装置、及び半導体装置の製造方法
CN100355058C (zh)2001-05-042007-12-12东京毅力科创株式会社具有连续沉积和蚀刻的电离pvd
US6709928B1 (en)2001-07-312004-03-23Cypress Semiconductor CorporationSemiconductor device having silicon-rich layer and method of manufacturing such a device
US20030092280A1 (en)2001-11-092003-05-15Applied Materials, Inc.Method for etching tungsten using NF3 and Cl2
JP4116283B2 (ja)2001-11-302008-07-09レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロードヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en)2001-12-062003-10-28Macronix International Co., Ltd.Method for forming nitride spacer by using atomic layer deposition
US7108771B2 (en)2001-12-132006-09-19Advanced Technology Materials, Inc.Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US6911391B2 (en)2002-01-262005-06-28Applied Materials, Inc.Integration of titanium and titanium nitride layers
KR20030081144A (ko)2002-04-112003-10-17가부시키가이샤 히다치 고쿠사이 덴키종형 반도체 제조 장치
US6518167B1 (en)2002-04-162003-02-11Advanced Micro Devices, Inc.Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en)2002-06-042006-05-09Applied Materials, Inc.Titanium tantalum nitride silicide layer
KR100469126B1 (ko)2002-06-052005-01-29삼성전자주식회사수소 함유량이 적은 박막 형성방법
US7169704B2 (en)2002-06-212007-01-30Samsung Electronics Co., Ltd.Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
JP5005170B2 (ja)2002-07-192012-08-22エーエスエム アメリカ インコーポレイテッド超高品質シリコン含有化合物層の形成方法
US7294582B2 (en)2002-07-192007-11-13Asm International, N.V.Low temperature silicon compound deposition
US6967159B2 (en)2002-08-282005-11-22Micron Technology, Inc.Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en)2002-08-282004-09-21Micron Technology, Inc.Systems and methods for forming refractory metal nitride layers using disilazanes
US20070212850A1 (en)2002-09-192007-09-13Applied Materials, Inc.Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja)2002-09-252009-11-04レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6833322B2 (en)2002-10-172004-12-21Applied Materials, Inc.Apparatuses and methods for depositing an oxide film
US7531679B2 (en)2002-11-142009-05-12Advanced Technology Materials, Inc.Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko)2002-11-292005-06-17한국전자통신연구원반도체 소자의 박막 형성방법
CN100567564C (zh)2002-12-202009-12-09应用材料有限公司形成高质量的低温氮化硅层的方法和设备
US7172792B2 (en)2002-12-202007-02-06Applied Materials, Inc.Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en)2003-02-042010-05-11Tegal CorporationNanolayer deposition process
JP4329403B2 (ja)2003-05-192009-09-09東京エレクトロン株式会社プラズマ処理装置
US7205240B2 (en)2003-06-042007-04-17Applied Materials, Inc.HDP-CVD multistep gapfill process
JP4410497B2 (ja)2003-06-172010-02-03東京エレクトロン株式会社成膜方法
US7125582B2 (en)2003-07-302006-10-24Intel CorporationLow-temperature silicon nitride deposition
DE10335099B4 (de)2003-07-312006-06-08Advanced Micro Devices, Inc., SunnyvaleVerfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en)2003-08-192005-09-13International Business Machines CorporationAtomic layer deposition of metallic contacts, gates and diffusion barriers
US6974779B2 (en)2003-09-162005-12-13Tokyo Electron LimitedInterfacial oxidation process for high-k gate dielectric process integration
US7601860B2 (en)2003-10-102009-10-13Advanced Technology Materials, Inc.Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
JP3999189B2 (ja)2003-10-312007-10-31松下電器産業株式会社半導体装置及びその製造方法
US20050227017A1 (en)2003-10-312005-10-13Yoshihide SenzakiLow temperature deposition of silicon nitride
US20050109276A1 (en)2003-11-252005-05-26Applied Materials, Inc.Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP2005163084A (ja)2003-12-012005-06-23Mitsui Chemicals Incシリコン薄膜の製膜方法
US7115530B2 (en)2003-12-032006-10-03Texas Instruments IncorporatedTop surface roughness reduction of high-k dielectric materials using plasma based processes
US7431966B2 (en)2003-12-092008-10-07Micron Technology, Inc.Atomic layer deposition method of depositing an oxide on a substrate
US7291271B2 (en)2003-12-092007-11-06Separation Design Group, LlcMeso-frequency traveling wave electro-kinetic continuous adsorption system
KR100583105B1 (ko)2003-12-242006-05-23주식회사 하이닉스반도체반도체 소자의 화학적 기계적 연마 공정의 종말점 검출 방법
JP2005210076A (ja)2003-12-252005-08-04Semiconductor Leading Edge Technologies Inc窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko)2004-01-082006-03-16삼성전자주식회사질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en)2004-01-292005-08-04Applied Materials, Inc.Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja)2004-03-022009-06-17株式会社アルバックシリコン窒化膜の形成方法
US20070066038A1 (en)2004-04-302007-03-22Lam Research CorporationFast gas switching plasma processing apparatus
US7708859B2 (en)2004-04-302010-05-04Lam Research CorporationGas distribution system having fast gas switching capabilities
US7550067B2 (en)2004-06-252009-06-23Guardian Industries Corp.Coated article with ion treated underlayer and corresponding method
US7585396B2 (en)2004-06-252009-09-08Guardian Industries Corp.Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja)2004-06-282010-01-13東京エレクトロン株式会社成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja)2004-07-152010-12-08東京エレクトロン株式会社成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja)2004-07-282008-11-12東京エレクトロン株式会社成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja)2004-08-202010-06-02レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロードシリコン窒化物膜の製造方法
US7271464B2 (en)2004-08-242007-09-18Micron Technology, Inc.Liner for shallow trench isolation
US7629270B2 (en)2004-08-272009-12-08Asm America, Inc.Remote plasma activated nitridation
US7910288B2 (en)2004-09-012011-03-22Micron Technology, Inc.Mask material conversion
US7087536B2 (en)2004-09-012006-08-08Applied MaterialsSilicon oxide gapfill deposition using liquid precursors
JP4517791B2 (ja)2004-09-102010-08-04凸版印刷株式会社窒化シリコン膜を用いたパターン形成方法
US20060084283A1 (en)2004-10-202006-04-20Paranjpe Ajit PLow temperature sin deposition methods
US7790633B1 (en)2004-10-262010-09-07Novellus Systems, Inc.Sequential deposition/anneal film densification method
JP4701691B2 (ja)2004-11-292011-06-15東京エレクトロン株式会社エッチング方法
US7429820B2 (en)2004-12-072008-09-30Motorola, Inc.Field emission display with electron trajectory field shaping
US7459100B2 (en)2004-12-222008-12-02Lam Research CorporationMethods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7482247B1 (en)2004-12-302009-01-27Novellus Systems, Inc.Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20060162661A1 (en)2005-01-222006-07-27Applied Materials, Inc.Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko)2005-02-162006-09-19주식회사 하이닉스반도체박막 형성 방법
KR100841866B1 (ko)2005-02-172008-06-27가부시키가이샤 히다치 고쿠사이 덴키반도체 디바이스의 제조 방법 및 기판 처리 장치
US7629267B2 (en)2005-03-072009-12-08Asm International N.V.High stress nitride film and method for formation thereof
JP4258518B2 (ja)2005-03-092009-04-30東京エレクトロン株式会社成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja)2005-03-112010-07-21東京エレクトロン株式会社成膜方法、成膜装置及び記憶媒体
US7211525B1 (en)2005-03-162007-05-01Novellus Systems, Inc.Hydrogen treatment enhanced gap fill
JP2006261434A (ja)2005-03-172006-09-28L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claudeシリコン酸化膜の形成方法
JP4607637B2 (ja)2005-03-282011-01-05東京エレクトロン株式会社シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en)2005-05-162011-01-25Air Products And Chemicals, Inc.Precursors for CVD silicon carbo-nitride and silicon nitride films
US7429536B2 (en)2005-05-232008-09-30Micron Technology, Inc.Methods for forming arrays of small, closely spaced features
US7560390B2 (en)2005-06-022009-07-14Micron Technology, Inc.Multiple spacer steps for pitch multiplication
US7651955B2 (en)2005-06-212010-01-26Applied Materials, Inc.Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en)2005-06-222010-04-20Tokyo Electron LimitedPlasma etching method and apparatus, control program and computer-readable storage medium storing the control program
US7329586B2 (en)2005-06-242008-02-12Applied Materials, Inc.Gapfill using deposition-etch sequence
JP4305427B2 (ja)2005-08-022009-07-29東京エレクトロン株式会社成膜方法、成膜装置及び記憶媒体
US8993055B2 (en)*2005-10-272015-03-31Asm International N.V.Enhanced thin film deposition
US7696101B2 (en)2005-11-012010-04-13Micron Technology, Inc.Process for increasing feature density during the manufacture of a semiconductor device
US7465669B2 (en)2005-11-122008-12-16Applied Materials, Inc.Method of fabricating a silicon nitride stack
JP4803578B2 (ja)2005-12-082011-10-26東京エレクトロン株式会社成膜方法
KR100714305B1 (ko)2005-12-262007-05-02삼성전자주식회사자기정렬 이중패턴의 형성방법
US8088248B2 (en)2006-01-112012-01-03Lam Research CorporationGas switching section including valves having different flow coefficients for gas distribution system
US7301210B2 (en)2006-01-122007-11-27International Business Machines CorporationMethod and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja)2006-01-162010-03-17東京エレクトロン株式会社成膜方法、成膜装置及び記憶媒体
KR101379015B1 (ko)2006-02-152014-03-28한국에이에스엠지니텍 주식회사플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US20070207275A1 (en)2006-02-212007-09-06Applied Materials, Inc.Enhancement of remote plasma source clean for dielectric films
US7491630B2 (en)2006-03-152009-02-17Freescale Semiconductor, Inc.Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
US20070238301A1 (en)2006-03-282007-10-11Cabral Stephen HBatch processing system and method for performing chemical oxide removal
JP4929811B2 (ja)2006-04-052012-05-09東京エレクトロン株式会社プラズマ処理装置
JP2007281181A (ja)2006-04-062007-10-25Elpida Memory Inc半導体装置の製造方法
US7902074B2 (en)2006-04-072011-03-08Micron Technology, Inc.Simplified pitch doubling process flow
FR2900276B1 (fr)2006-04-252008-09-12St Microelectronics SaDepot peald d'un materiau a base de silicium
US7875312B2 (en)2006-05-232011-01-25Air Products And Chemicals, Inc.Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en)2006-05-232013-09-10Air Products And Chemicals, Inc.Process for producing silicon and oxide films from organoaminosilane precursors
US7625820B1 (en)2006-06-212009-12-01Novellus Systems, Inc.Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en)2006-06-222012-07-31Applied Materials, Inc.Dielectric deposition and etch back processes for bottom up gapfill
US7611980B2 (en)2006-08-302009-11-03Micron Technology, Inc.Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja)2006-09-012012-05-09東京エレクトロン株式会社成膜方法、成膜装置及び記憶媒体
WO2008035678A1 (en)2006-09-192008-03-27Tokyo Electron LimitedPlasma cleaning process and plasma cvd method
US7772702B2 (en)2006-09-212010-08-10Intel CorporationDielectric spacers for metal interconnects and method to form the same
US7939455B2 (en)2006-09-292011-05-10Tokyo Electron LimitedMethod for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en)2006-10-262008-06-12Shahid PirzadaBarrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en)2006-11-202008-05-22Applied Materials,Inc.Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en)2006-11-282008-05-29Air Products And Chemicals, Inc.Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080142483A1 (en)2006-12-072008-06-19Applied Materials, Inc.Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
JP5336070B2 (ja)2006-12-212013-11-06アイメック選択エピタキシャル成長プロセスの改良方法
US20080213479A1 (en)2007-02-162008-09-04Tokyo Electron LimitedSiCN film formation method and apparatus
US20080207007A1 (en)2007-02-272008-08-28Air Products And Chemicals, Inc.Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en)2007-03-282009-02-10International Business Machines CorporationStructure and methods for stress concentrating spacer
US20080242032A1 (en)2007-03-292008-10-02Texas Instruments IncorporatedCarbon-Doped Epitaxial SiGe
US7651961B2 (en)2007-03-302010-01-26Tokyo Electron LimitedMethod for forming strained silicon nitride films and a device containing such films
US7807578B2 (en)2007-06-012010-10-05Applied Materials, Inc.Frequency doubling using spacer mask
JP5151260B2 (ja)2007-06-112013-02-27東京エレクトロン株式会社成膜方法及び成膜装置
KR100956210B1 (ko)2007-06-192010-05-04에어 프로덕츠 앤드 케미칼스, 인코오포레이티드금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
KR100865709B1 (ko)2007-06-272008-10-29주식회사 하이닉스반도체원통형 전하저장전극을 구비하는 캐패시터 제조 방법
US7910497B2 (en)2007-07-302011-03-22Applied Materials, Inc.Method of forming dielectric layers on a substrate and apparatus therefor
US8563229B2 (en)2007-07-312013-10-22Micron Technology, Inc.Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP5098882B2 (ja)2007-08-312012-12-12東京エレクトロン株式会社プラズマ処理装置
CN101889331A (zh)2007-09-182010-11-17乔治洛德方法研究和开发液化空气有限公司形成含硅膜的方法
KR101168977B1 (ko)2007-11-192012-07-26삼성전자주식회사콘택홀에 인접한 층간절연막 상에 성장 방지막을 갖는집적회로 메모리 소자의 제조 방법
US7651959B2 (en)2007-12-032010-01-26Asm Japan K.K.Method for forming silazane-based dielectric film
US8440569B2 (en)2007-12-072013-05-14Cadence Design Systems, Inc.Method of eliminating a lithography operation
US20090155606A1 (en)2007-12-132009-06-18Asm Genitech Korea Ltd.Methods of depositing a silicon nitride film
US7678715B2 (en)2007-12-212010-03-16Applied Materials, Inc.Low wet etch rate silicon nitride film
JP4935684B2 (ja)2008-01-122012-05-23東京エレクトロン株式会社成膜方法及び成膜装置
JP4935687B2 (ja)2008-01-192012-05-23東京エレクトロン株式会社成膜方法及び成膜装置
JP5297048B2 (ja)2008-01-282013-09-25三菱重工業株式会社プラズマ処理方法及びプラズマ処理装置
US20090203197A1 (en)2008-02-082009-08-13Hiroji HanawaNovel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
JP2009260151A (ja)2008-04-182009-11-05Tokyo Electron Ltd金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en)2008-04-252013-02-26Asm America, Inc.Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8133797B2 (en)2008-05-162012-03-13Novellus Systems, Inc.Protective layer to enable damage free gap fill
US7622369B1 (en)2008-05-302009-11-24Asm Japan K.K.Device isolation technology on semiconductor substrate
US8298628B2 (en)2008-06-022012-10-30Air Products And Chemicals, Inc.Low temperature deposition of silicon-containing films
KR20090131821A (ko)2008-06-192009-12-30삼성전자주식회사미세 패턴 형성 방법
JP5190307B2 (ja)2008-06-292013-04-24東京エレクトロン株式会社成膜方法、成膜装置及び記憶媒体
CN101620991B (zh)2008-07-022011-08-17中芯国际集成电路制造(上海)有限公司Tft快闪存储单元的原子层沉积外延硅生长
US8076208B2 (en)2008-07-032011-12-13Micron Technology, Inc.Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8129555B2 (en)2008-08-122012-03-06Air Products And Chemicals, Inc.Precursors for depositing silicon-containing films and methods for making and using same
JP5423205B2 (ja)2008-08-292014-02-19東京エレクトロン株式会社成膜装置
JP4638550B2 (ja)2008-09-292011-02-23東京エレクトロン株式会社マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP2010087187A (ja)2008-09-302010-04-15Tokyo Electron Ltd酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
JP5233562B2 (ja)2008-10-042013-07-10東京エレクトロン株式会社成膜方法及び成膜装置
US7745346B2 (en)2008-10-172010-06-29Novellus Systems, Inc.Method for improving process control and film conformality of PECVD film
JP2010103303A (ja)2008-10-232010-05-06Toshiba Corp磁気抵抗素子及びその製造方法
US10378106B2 (en)2008-11-142019-08-13Asm Ip Holding B.V.Method of forming insulation film by modified PEALD
US8647722B2 (en)2008-11-142014-02-11Asm Japan K.K.Method of forming insulation film using plasma treatment cycles
US7994002B2 (en)2008-11-242011-08-09Applied Materials, Inc.Method and apparatus for trench and via profile modification
US20100136313A1 (en)2008-12-012010-06-03Asm Japan K.K.Process for forming high resistivity thin metallic film
US7833906B2 (en)2008-12-112010-11-16Asm International N.V.Titanium silicon nitride deposition
US9640396B2 (en)2009-01-072017-05-02Brewer Science Inc.Spin-on spacer materials for double- and triple-patterning lithography
US7919416B2 (en)2009-01-212011-04-05Asm Japan K.K.Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en)2009-01-212011-07-05Asm Japan K.K.Method of forming conformal dielectric film having Si-N bonds by PECVD
JP4810581B2 (ja)2009-03-252011-11-09株式会社東芝不揮発性記憶装置
DE102009014418B3 (de)2009-03-262010-04-15Heraeus Quarzglas Gmbh & Co. KgZiehverfahren zur Herstellung zylinderförmiger Bauteile aus Quarzglas
US8268727B2 (en)2009-04-202012-09-18GlobalFoundries, Inc.Methods for fabricating FinFET semiconductor devices using planarized spacers
KR20100128863A (ko)2009-05-292010-12-08주식회사 케이씨텍원자층 증착장치 및 방법
US8791034B2 (en)2009-06-262014-07-29Cornell UniversityChemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko)2009-07-012011-01-07삼성전자주식회사반도체 소자의 형성방법
JP2011023718A (ja)2009-07-152011-02-03Asm Japan KkPEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en)2009-07-272012-01-31International Business Machines CorporationMethod for double pattern density
US8119527B1 (en)2009-08-042012-02-21Novellus Systems, Inc.Depositing tungsten into high aspect ratio features
US7989365B2 (en)2009-08-182011-08-02Applied Materials, Inc.Remote plasma source seasoning
US8173554B2 (en)2009-10-142012-05-08Asm Japan K.K.Method of depositing dielectric film having Si-N bonds by modified peald method
US8021949B2 (en)2009-12-012011-09-20International Business Machines CorporationMethod and structure for forming finFETs with multiple doping regions on a same chip
US8354331B2 (en)2009-12-012013-01-15International Business Machines CorporationMultiplying pattern density by single sidewall imaging transfer
KR20120103719A (ko)2009-12-222012-09-19어플라이드 머티어리얼스, 인코포레이티드연속 플라즈마에 의한 pecvd 다단계 공정
US8703625B2 (en)2010-02-042014-04-22Air Products And Chemicals, Inc.Methods to prepare silicon-containing films
JP2011192776A (ja)2010-03-152011-09-29Toshiba Corp半導体装置の製造方法
JP5742185B2 (ja)2010-03-192015-07-01東京エレクトロン株式会社成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en)2010-03-302011-10-06Applied Materials, Inc.Nitrogen doped amorphous carbon hardmask
US20130078376A1 (en)2010-04-012013-03-28L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges ClaudeMetal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US8956983B2 (en)2010-04-152015-02-17Novellus Systems, Inc.Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en)2010-04-152015-07-07Lam Research CorporationPlasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en)2013-11-072016-07-12Novellus Systems, Inc.Soft landing nanolaminates for advanced patterning
CN102906305B (zh)2010-04-152016-01-13诺发系统公司气体和液体的喷射的方法和装置
US20110256734A1 (en)2010-04-152011-10-20Hausmann Dennis MSilicon nitride films and methods
US9611544B2 (en)2010-04-152017-04-04Novellus Systems, Inc.Plasma activated conformal dielectric film deposition
US8993460B2 (en)2013-01-102015-03-31Novellus Systems, Inc.Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en)2010-04-152014-01-28Novellus Systems, Inc.Plasma activated conformal dielectric film deposition
US9892917B2 (en)2010-04-152018-02-13Lam Research CorporationPlasma assisted atomic layer deposition of multi-layer films for patterning applications
US8669185B2 (en)2010-07-302014-03-11Asm Japan K.K.Method of tailoring conformality of Si-containing film
KR101147728B1 (ko)2010-08-022012-05-25주식회사 유진테크사이클릭 박막 증착 방법
US8394466B2 (en)2010-09-032013-03-12Asm Japan K.K.Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8580699B2 (en)2010-09-102013-11-12Applied Materials, Inc.Embedded catalyst for atomic layer deposition of silicon oxide
US8138097B1 (en)2010-09-202012-03-20Kabushiki Kaisha ToshibaMethod for processing semiconductor structure and device based on the same
US9685320B2 (en)2010-09-232017-06-20Lam Research CorporationMethods for depositing silicon oxide
WO2012039833A2 (en)2010-09-242012-03-29Applied Materials, Inc.Low temperature silicon carbide deposition process
US20120213940A1 (en)2010-10-042012-08-23Applied Materials, Inc.Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en)2010-10-062012-06-16Applied Materials IncAtomic layer deposition of photoresist materials and hard mask precursors
JP2012084707A (ja)2010-10-132012-04-26Mitsubishi Heavy Ind Ltd窒化珪素膜形成装置及び方法
WO2012057889A1 (en)2010-10-292012-05-03Applied Materials, Inc.Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en)2010-11-022014-03-25Micron Technology, Inc.Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8440571B2 (en)2010-11-032013-05-14Applied Materials, Inc.Methods for deposition of silicon carbide and silicon carbonitride films
US8288083B2 (en)2010-11-052012-10-16Micron Technology, Inc.Methods of forming patterned masks
US8822350B2 (en)2010-11-192014-09-02Hitachi Kokusai Electric Inc.Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
CN102479672B (zh)2010-11-222013-10-23中芯国际集成电路制造(上海)有限公司形成氮氧化硅层的方法
KR20120062385A (ko)2010-12-062012-06-14에스케이하이닉스 주식회사반도체 메모리 소자의 형성방법
KR101225601B1 (ko)2010-12-162013-01-24한국과학기술원대면적 나노스케일 패턴형성방법
JP5682290B2 (ja)2010-12-202015-03-11東京エレクトロン株式会社炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja)2010-12-212015-03-25東京エレクトロン株式会社窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en)2010-12-282014-12-02Asm Japan K.K.Method of forming metal oxide hardmask
KR101172272B1 (ko)2010-12-302012-08-09에스케이하이닉스 주식회사매립비트라인을 구비한 반도체장치 제조 방법
JP2012169408A (ja)2011-02-142012-09-06Taiyo Nippon Sanso Corpマスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
JP5941653B2 (ja)2011-02-242016-06-29東京エレクトロン株式会社シリコン窒化膜の成膜方法及びシリコン窒化膜の成膜装置
JP6022166B2 (ja)2011-02-282016-11-09株式会社日立国際電気半導体装置の製造方法、基板処理装置およびプログラム
US8883649B2 (en)2011-03-232014-11-11International Business Machines CorporationSidewall image transfer process
JP2012221978A (ja)2011-04-042012-11-12Hitachi Kokusai Electric Inc半導体装置の製造方法、基板処理方法および基板処理装置
KR102111702B1 (ko)2011-04-072020-05-15피코순 오와이플라즈마 소오스를 갖는 원자층 퇴적
US8298951B1 (en)2011-04-132012-10-30Asm Japan K.K.Footing reduction using etch-selective layer
US8298954B1 (en)2011-05-062012-10-30International Business Machines CorporationSidewall image transfer process employing a cap material layer for a metal nitride layer
US8771807B2 (en)2011-05-242014-07-08Air Products And Chemicals, Inc.Organoaminosilane precursors and methods for making and using same
US9006116B2 (en)2011-06-032015-04-14Hitachi Kokusai Electric Inc.Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
WO2013039881A2 (en)2011-09-132013-03-21Applied Materials, Inc.Carbosilane precursors for low temperature film deposition
TW201319299A (zh)2011-09-132013-05-16Applied Materials Inc用於低溫電漿輔助沉積的活化矽前驅物
KR101975071B1 (ko)2011-09-232019-05-03노벨러스 시스템즈, 인코포레이티드플라즈마 활성화된 컨포멀 유전체 막 증착
US8809169B2 (en)2011-09-302014-08-19Tokyo Electron LimitedMulti-layer pattern for alternate ALD processes
US9318431B2 (en)2011-11-042016-04-19Taiwan Semiconductor Manufacturing Company, Ltd.Integrated circuit having a MOM capacitor and method of making same
US20130115778A1 (en)2011-11-042013-05-09Applied Materials, Inc.Dry Etch Processes
US9059263B2 (en)2011-11-092015-06-16QUALCOMM IncorpatedLow-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
US20130189845A1 (en)2012-01-192013-07-25Applied Materials, Inc.Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en)2012-01-202013-11-26Novellus Systems, Inc.Method for depositing a chlorine-free conformal sin film
US9200167B2 (en)2012-01-272015-12-01Air Products And Chemicals, Inc.Alkoxyaminosilane compounds and applications thereof
JP5882776B2 (ja)2012-02-142016-03-09信越化学工業株式会社レジスト下層膜形成用組成物、及びパターン形成方法
US8728955B2 (en)2012-02-142014-05-20Novellus Systems, Inc.Method of plasma activated deposition of a conformal film on a substrate surface
US8846484B2 (en)2012-02-152014-09-30Intermolecular, Inc.ReRAM stacks preparation by using single ALD or PVD chamber
JP5991609B2 (ja)2012-02-292016-09-14住友電工デバイス・イノベーション株式会社半導体装置の製造方法
WO2013137115A1 (ja)2012-03-152013-09-19東京エレクトロン株式会社成膜方法及び成膜装置
JP6125247B2 (ja)2012-03-212017-05-10株式会社日立国際電気半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI602283B (zh)2012-03-272017-10-11諾發系統有限公司鎢特徵部塡充
KR101862547B1 (ko)2012-04-132018-05-31삼성전자주식회사폴리실리콘막 형성 방법 및 반도체 장치의 제조 방법
US8936977B2 (en)2012-05-292015-01-20Globalfoundries Singapore Pte. Ltd.Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en)2012-05-292014-04-22Globalfoundries Singapore Pte. Ltd.Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US20130320429A1 (en)2012-05-312013-12-05Asm Ip Holding B.V.Processes and structures for dopant profile control in epitaxial trench fill
US9487869B2 (en)2012-06-012016-11-08Carnegie Mellon UniversityPattern transfer with self-assembled nanoparticle assemblies
US20180347035A1 (en)2012-06-122018-12-06Lam Research CorporationConformal deposition of silicon carbide films using heterogeneous precursor interaction
US8962078B2 (en)2012-06-222015-02-24Tokyo Electron LimitedMethod for depositing dielectric films
CN103515197A (zh)2012-06-262014-01-15中芯国际集成电路制造(上海)有限公司自对准多重图形化的掩膜层及其形成方法
US9023737B2 (en)2012-07-112015-05-05Asm Ip Holding B.V.Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
JP6001940B2 (ja)2012-07-112016-10-05東京エレクトロン株式会社パターン形成方法及び基板処理システム
US20140023794A1 (en)2012-07-232014-01-23Maitreyee MahajaniMethod And Apparatus For Low Temperature ALD Deposition
JP2014038968A (ja)2012-08-172014-02-27Ps4 Luxco S A R L半導体装置の製造方法
JPWO2014030393A1 (ja)2012-08-202016-07-28日本電気株式会社抵抗変化素子、および抵抗変化素子の製造方法
US8716136B1 (en)2012-10-192014-05-06Globalfoundries Inc.Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
KR102207992B1 (ko)2012-10-232021-01-26램 리써치 코포레이션서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en)2012-11-082014-06-27Novellus Systems IncConformal film deposition for gapfill
KR102052936B1 (ko)2012-11-132019-12-06삼성전자 주식회사반도체 소자 제조 방법
US8784951B2 (en)2012-11-162014-07-22Asm Ip Holding B.V.Method for forming insulation film using non-halide precursor having four or more silicons
US10279959B2 (en)2012-12-112019-05-07Versum Materials Us, LlcAlkoxysilylamine compounds and applications thereof
US9362133B2 (en)2012-12-142016-06-07Lam Research CorporationMethod for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en)2013-02-192016-09-20Nanotech Industrial Solutions, Inc.Applications for inorganic fullerene-like particles
US8623770B1 (en)2013-02-212014-01-07HGST Netherlands B.V.Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9564309B2 (en)2013-03-142017-02-07Asm Ip Holding B.V.Si precursors for deposition of SiN at low temperatures
US9824881B2 (en)2013-03-142017-11-21Asm Ip Holding B.V.Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en)2013-03-142014-09-18Asm Ip Holding B.V.Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US20140271097A1 (en)2013-03-152014-09-18Applied Materials, Inc.Processing systems and methods for halide scavenging
US20140273530A1 (en)2013-03-152014-09-18Victor NguyenPost-Deposition Treatment Methods For Silicon Nitride
US9721784B2 (en)2013-03-152017-08-01Applied Materials, Inc.Ultra-conformal carbon film deposition
TW201441408A (zh)2013-03-152014-11-01Applied Materials Inc包含氮化矽之膜的電漿輔助原子層沉積
US9012336B2 (en)2013-04-082015-04-21Applied Materials, Inc.Method for conformal treatment of dielectric films using inductively coupled plasma
JP6230809B2 (ja)2013-04-222017-11-15株式会社日立国際電気半導体装置の製造方法、基板処理装置およびプログラム
US9343317B2 (en)2013-07-012016-05-17Micron Technology, Inc.Methods of forming silicon-containing dielectric materials and semiconductor device structures
US9209274B2 (en)2013-07-192015-12-08Globalfoundries Inc.Highly conformal extension doping in advanced multi-gate devices
JP6494940B2 (ja)2013-07-252019-04-03ラム リサーチ コーポレーションLam Research Corporation異なるサイズのフィーチャへのボイドフリータングステン充填
KR102081195B1 (ko)2013-08-282020-02-25삼성전자주식회사반도체 장치 및 이의 제조 방법
US9543158B2 (en)2014-12-042017-01-10Lam Research CorporationTechnique to deposit sidewall passivation for high aspect ratio cylinder etch
TWI649803B (zh)2013-09-302019-02-01蘭姆研究公司具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9905415B2 (en)2013-10-032018-02-27Versum Materials Us, LlcMethods for depositing silicon nitride films
US20150251917A1 (en)2013-10-212015-09-10Qualcomm Mems Technologies, Inc.Method of patterning pillars
CN104576506A (zh)2013-10-222015-04-29中微半导体设备(上海)有限公司一种刻蚀硅通孔的方法
US9159579B2 (en)2013-10-252015-10-13Taiwan Semiconductor Manufacturing Company, Ltd.Lithography using multilayer spacer for reduced spacer footing
US9653319B2 (en)2013-11-082017-05-16Tokyo Electron LimitedMethod for using post-processing methods for accelerating EUV lithography
US10084016B2 (en)2013-11-212018-09-25Micron Technology, Inc.Cross-point memory and methods for fabrication of same
TWI480415B (zh)2013-11-272015-04-11Ind Tech Res Inst多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en)2013-12-042015-09-01Taiwan Semiconductor Manufacturing Company, Ltd.Self-aligned double spacer patterning process
US9614053B2 (en)2013-12-052017-04-04Taiwan Semiconductor Manufacturing Company, Ltd.Spacers with rectangular profile and methods of forming the same
US9401273B2 (en)2013-12-112016-07-26Asm Ip Holding B.V.Atomic layer deposition of silicon carbon nitride based materials
WO2015106261A1 (en)2014-01-132015-07-16Applied Materials, Inc.Self-aligned double patterning with spatial atomic layer deposition
TWI661072B (zh)2014-02-042019-06-01荷蘭商Asm Ip控股公司金屬、金屬氧化物與介電質的選擇性沈積
US9806129B2 (en)2014-02-252017-10-31Micron Technology, Inc.Cross-point memory and methods for fabrication of same
US9425078B2 (en)2014-02-262016-08-23Lam Research CorporationInhibitor plasma mediated atomic layer deposition for seamless feature fill
US9660080B2 (en)2014-02-282017-05-23Stmicroelectronics, Inc.Multi-layer strained channel FinFET
US20150247238A1 (en)2014-03-032015-09-03Lam Research CorporationRf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9464352B2 (en)2014-05-022016-10-11Asm Ip Holding B.V.Low-oxidation plasma-assisted process
US9406522B2 (en)2014-07-242016-08-02Applied Materials, Inc.Single platform, multiple cycle spacer deposition and etch
US9728406B2 (en)2014-08-082017-08-08Applied Materials, Inc.Multi materials and selective removal enabled reverse tone process
US20160049307A1 (en)2014-08-152016-02-18Yijian ChenPatterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en)2014-08-272016-04-19United Microelectronics Corp.Method for fabricating semiconductor device
US9190489B1 (en)2014-09-082015-11-17Lam Research CorporationSacrificial pre-metal dielectric for self-aligned contact scheme
US9576792B2 (en)2014-09-172017-02-21Asm Ip Holding B.V.Deposition of SiN
US9214333B1 (en)2014-09-242015-12-15Lam Research CorporationMethods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en)2014-09-252016-05-31Micron Technology, Inc.Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en)2014-10-032018-01-23Applied Materials, Inc.High temperature silicon oxide atomic layer deposition technology
US9791779B2 (en)2014-10-162017-10-17Tokyo Electron LimitedEUV resist etch durability improvement and pattern collapse mitigation
US9685332B2 (en)2014-10-172017-06-20Taiwan Semiconductor Manufacturing Company, Ltd.Iterative self-aligned patterning
US9184060B1 (en)2014-11-142015-11-10Lam Research CorporationPlated metal hard mask for vertical NAND hole etch
US9564312B2 (en)2014-11-242017-02-07Lam Research CorporationSelective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en)2014-11-242017-03-07Lam Research CorporationMethod of depositing ammonia free and chlorine free conformal silicon nitride film
CN105702575A (zh)2014-11-252016-06-22中国科学院微电子研究所半导体器件制造方法
US9449971B2 (en)2014-12-012016-09-20Taiwan Semiconductor Manufacturing Company, Ltd.Methods of forming FinFETs
US9620377B2 (en)2014-12-042017-04-11Lab Research CorporationTechnique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en)2014-12-042018-06-12Lam Research CorporationTechnique to deposit sidewall passivation for high aspect ratio cylinder etch
EP3035379B1 (en)2014-12-152020-07-01IMEC vzwMethod for blocking a trench portion
US9576811B2 (en)2015-01-122017-02-21Lam Research CorporationIntegrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9673059B2 (en)2015-02-022017-06-06Tokyo Electron LimitedMethod for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en)2015-02-202016-09-13Tokyo Electron LimitedMaterial processing to achieve sub-10nm patterning
US9530646B2 (en)2015-02-242016-12-27United Microelectronics Corp.Method of forming a semiconductor structure
US9472506B2 (en)2015-02-252016-10-18International Business Machines CorporationRegistration mark formation during sidewall image transfer process
US9748093B2 (en)2015-03-182017-08-29Applied Materials, Inc.Pulsed nitride encapsulation
JP6460874B2 (ja)2015-03-262019-01-30株式会社Kokusai Electric半導体装置の製造方法、基板処理装置およびプログラム
US9502238B2 (en)2015-04-032016-11-22Lam Research CorporationDeposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en)2015-04-082017-10-10Tokyo Electron LimitedMethod for increasing pattern density in self-aligned patterning schemes without using hard masks
US9406693B1 (en)2015-04-202016-08-02Sandisk Technologies LlcSelective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
CN106298519A (zh)2015-05-152017-01-04联华电子股份有限公司形成半导体结构的方法
US9653571B2 (en)2015-06-152017-05-16International Business Machines CorporationFreestanding spacer having sub-lithographic lateral dimension and method of forming same
TWI663281B (zh)2015-06-162019-06-21美商慧盛材料美國責任有限公司鹵代矽烷化合物的製備方法、組合物及含有其的容器
US9508560B1 (en)2015-06-182016-11-29International Business Machines CorporationSiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en)2015-06-232016-12-27Nanya Technology Corp.Method for forming a pattern
US9972504B2 (en)2015-08-072018-05-15Lam Research CorporationAtomic layer etching of tungsten for enhanced tungsten deposition fill
US9748110B2 (en)2015-09-032017-08-29Tokyo Electron LimitedMethod and system for selective spacer etch for multi-patterning schemes
US10020196B2 (en)2015-09-242018-07-10Tokyo Electron LimitedMethods of forming etch masks for sub-resolution substrate patterning
US9601693B1 (en)2015-09-242017-03-21Lam Research CorporationMethod for encapsulating a chalcogenide material
US10141417B2 (en)2015-10-202018-11-27Taiwan Semiconductor Manufacturing Company, Ltd.Gate structure, semiconductor device and the method of forming semiconductor device
CN108369899B (zh)2015-11-202023-11-17东京毅力科创株式会社形成用于亚分辨率基板图案化的蚀刻掩模的方法
CN205164805U (zh)2015-11-262016-04-20醴陵市三塘瓷业有限公司日用陶瓷胚料混合粉碎一体机
US9576817B1 (en)2015-12-032017-02-21International Business Machines CorporationPattern decomposition for directed self assembly patterns templated by sidewall image transfer
US10283348B2 (en)2016-01-202019-05-07Versum Materials Us, LlcHigh temperature atomic layer deposition of silicon-containing films
JP6777754B2 (ja)2016-02-262020-10-28バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニーケイ素含有膜の堆積のための組成物及びそれを使用した方法
US9508604B1 (en)2016-04-292016-11-29Globalfoundries Inc.Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US10157736B2 (en)2016-05-062018-12-18Lam Research CorporationMethods of encapsulation
CN105977141A (zh)2016-05-102016-09-28上海格易电子有限公司一种自对准双重图形化的方法
US10354873B2 (en)2016-06-082019-07-16Tokyo Electron LimitedOrganic mandrel protection process
US10062563B2 (en)2016-07-012018-08-28Lam Research CorporationSelective atomic layer deposition with post-dose treatment
US20180033614A1 (en)2016-07-272018-02-01Versum Materials Us, LlcCompositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10629435B2 (en)2016-07-292020-04-21Lam Research CorporationDoped ALD films for semiconductor patterning applications
US10074543B2 (en)2016-08-312018-09-11Lam Research CorporationHigh dry etch rate materials for semiconductor patterning applications
US10037884B2 (en)2016-08-312018-07-31Lam Research CorporationSelective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en)2016-09-072018-01-09Lam Research CorporationNitride film formed by plasma-enhanced and thermal atomic layer deposition process
WO2018052760A1 (en)2016-09-132018-03-22Applied Materials, Inc.Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
TWI661076B (zh)2016-10-062019-06-01南韓商圓益Ips股份有限公司複合膜製造方法
US9824884B1 (en)2016-10-062017-11-21Lam Research CorporationMethod for depositing metals free ald silicon nitride films using halide-based precursors
US10832908B2 (en)2016-11-112020-11-10Lam Research CorporationSelf-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en)2016-11-112019-10-22Lam Research CorporationMethod for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en)2016-11-142018-11-20Lam Research CorporationMethod for high modulus ALD SiO2 spacer
US9837270B1 (en)2016-12-162017-12-05Lam Research CorporationDensification of silicon carbide film using remote plasma treatment
KR101884555B1 (ko)2017-02-072018-08-01연세대학교 산학협력단플라즈마 강화 원자층 증착을 이용한 금속 산화물막 증착 방법
US10655221B2 (en)2017-02-092020-05-19Asm Ip Holding B.V.Method for depositing oxide film by thermal ALD and PEALD
US10975469B2 (en)2017-03-172021-04-13Applied Materials, Inc.Plasma resistant coating of porous body by atomic layer deposition
US10832909B2 (en)2017-04-242020-11-10Lam Research CorporationAtomic layer etch, reactive precursors and energetic sources for patterning applications
WO2019022826A1 (en)2017-07-242019-01-31Applied Materials, Inc. PRETREATMENT APPARATUS FOR IMPROVING THE CONTINUITY OF ULTRA-THIN AMORPHOUS SILICON FILM ON SILICON OXIDE
US10269559B2 (en)2017-09-132019-04-23Lam Research CorporationDielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10633740B2 (en)2018-03-192020-04-28Applied Materials, Inc.Methods for depositing coatings on aerospace components
WO2019199682A1 (en)2018-04-092019-10-17Lam Research CorporationModifying hydrophobicity of a wafer surface using an organosilicon precursor
US10580645B2 (en)2018-04-302020-03-03Asm Ip Holding B.V.Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US20190345608A1 (en)2018-05-082019-11-14Lam Research CorporationMethod of providing a plasma atomic layer deposition
KR102708088B1 (ko)2018-09-242024-09-19버슘머트리얼즈 유에스, 엘엘씨실리콘 및 질소 함유 막의 제조 방법
KR20250029272A (ko)2018-09-282025-03-04램 리써치 코포레이션증착 부산물 빌드업 (buildup) 으로부터 진공 펌프 보호
KR102780614B1 (ko)2018-12-132025-03-11어플라이드 머티어리얼스, 인코포레이티드인 도핑된 실리콘 나이트라이드 막들을 증착하기 위한 방법들
JP7164789B2 (ja)2018-12-212022-11-02レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
KR102697922B1 (ko)2019-01-092024-08-22삼성전자주식회사원자층 증착 장치 및 이를 이용한 박막 형성 방법
KR102672436B1 (ko)2019-01-102024-06-04삼성전자주식회사반도체 장치 제조 방법
US20200381623A1 (en)2019-05-312020-12-03Applied Materials, Inc.Methods of forming silicon nitride encapsulation layers
KR102694258B1 (ko)2019-07-302024-08-13주식회사 원익아이피에스비정질 실리콘막의 형성 방법
CN114207184A (zh)2019-08-062022-03-18朗姆研究公司含硅膜的热原子层沉积
SG11202008980YA (en)2019-09-182021-04-29Kokusai Electric CorpMethod of manufacturing semiconductor device, substrate processing apparatus, and program
US12071688B2 (en)2020-03-312024-08-27Entegris, Inc.Precursors and methods for preparing silicon-containing films
KR20230034217A (ko)2020-06-032023-03-09램 리써치 코포레이션인-피처 (in-feature) 습식 에칭 레이트 비 감소
KR20230044150A (ko)2020-07-232023-04-03램 리써치 코포레이션제어된 막 특성들 및 고 증착 레이트로 컨포멀한 열적 cvd
JP7590078B2 (ja)*2021-01-202024-11-26東京エレクトロン株式会社シリコン含有膜の形成方法及び処理装置
KR20240032126A (ko)2021-07-092024-03-08램 리써치 코포레이션실리콘-함유 막들의 플라즈마 강화 원자 층 증착
KR20240046605A (ko)2021-08-242024-04-09램 리써치 코포레이션멀티-패터닝에서 인-시츄 코어 보호
KR20240093990A (ko)2021-10-292024-06-24램 리써치 코포레이션원자 층 증착 심 감소
JP2024540610A (ja)*2021-11-252024-10-31ソウルブレイン シーオー., エルティーディー.膜質改善剤、それを利用した薄膜形成方法、それから製造された半導体基板及び半導体素子
CN118355473A (zh)2021-12-032024-07-16朗姆研究公司保形碳掺杂的硅氮化物膜及其方法
KR20240127378A (ko)2021-12-172024-08-22램 리써치 코포레이션저온 전구체들에 대해 개선된 컨포멀성을 위한 원자 층 증착 펄스 시퀀스 엔지니어링
CN118696395A (zh)2021-12-202024-09-24朗姆研究公司使用氨基硅烷和氯硅烷前体的保形硅氧化物沉积
US20250069882A1 (en)2022-01-072025-02-27Lam Research CorporationSilicon nitride deposition

Also Published As

Publication numberPublication date
US12412742B2 (en)2025-09-09
WO2022027016A1 (en)2022-02-03
KR20230043795A (ko)2023-03-31
TW202223133A (zh)2022-06-16
US20230317449A1 (en)2023-10-05

Similar Documents

PublicationPublication DateTitle
KR102538780B1 (ko)Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
CN111247269A (zh)介电膜的几何选择性沉积
US20160329206A1 (en)Methods of modulating residual stress in thin films
WO2021202808A1 (en)Seam mitigation and integrated liner for gap fill
CN115735263A (zh)使用锡氧化物的先进自对准多重图案化
WO2023114401A1 (en)Atomic layer deposition pulse sequence engineering for improved conformality for low temperature precursors
US20230307290A1 (en)Reducing intralevel capacitance in semiconductor devices
US12412742B2 (en)Impurity reduction in silicon-containing films
US20250154644A1 (en)High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer
US20250179632A1 (en)Surface inhibition atomic layer deposition
US20250062118A1 (en)High pressure plasma inhibition
US20250285858A1 (en)Single wafer reactor, low temperature, thermal silicon nitride deposition
US20250054752A1 (en)Method to smooth sidewall roughness and maintain reentrant structures during dielectric gap fill
US20250207246A1 (en)Reducing capacitance in semiconductor devices
CN120112677A (zh)氟减少是含硅膜
TW202426692A (zh)摻雜矽或硼層的形成
TW202521752A (zh)提供針對邏輯及記憶體用途之無孔隙溝槽填充的方法
CN120188261A (zh)用于提供针对逻辑和存储器应用的无空隙沟槽填充的稳健icefill方法
CN119054047A (zh)横向间隙填充
CN118715592A (zh)用于改善膜接缝质量和wer的高压惰性氧化和原位退火处理
CN117616540A (zh)含硅膜的等离子体增强型原子层沉积

Legal Events

DateCodeTitleDescription
PB01Publication
PB01Publication
SE01Entry into force of request for substantive examination
SE01Entry into force of request for substantive examination

[8]ページ先頭

©2009-2025 Movatter.jp