Movatterモバイル変換


[0]ホーム

URL:


CN113964080B - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof

Info

Publication number
CN113964080B
CN113964080BCN202010698027.8ACN202010698027ACN113964080BCN 113964080 BCN113964080 BCN 113964080BCN 202010698027 ACN202010698027 ACN 202010698027ACN 113964080 BCN113964080 BCN 113964080B
Authority
CN
China
Prior art keywords
dielectric layer
inter
wafer
layer
metal dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010698027.8A
Other languages
Chinese (zh)
Other versions
CN113964080A (en
Inventor
冯冰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CSMC Technologies Fab2 Co Ltd
Original Assignee
CSMC Technologies Fab2 Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CSMC Technologies Fab2 Co LtdfiledCriticalCSMC Technologies Fab2 Co Ltd
Priority to CN202010698027.8ApriorityCriticalpatent/CN113964080B/en
Publication of CN113964080ApublicationCriticalpatent/CN113964080A/en
Application grantedgrantedCritical
Publication of CN113964080BpublicationCriticalpatent/CN113964080B/en
Activelegal-statusCriticalCurrent
Anticipated expirationlegal-statusCritical

Links

Classifications

Landscapes

Abstract

The invention discloses a semiconductor device and a manufacturing method thereof, wherein the method comprises the steps of providing a wafer, and forming a metal layer on the wafer; forming a first inter-metal dielectric layer on the wafer, heating the first inter-metal dielectric layer, oxidizing the first inter-metal dielectric layer, and forming a second inter-metal dielectric layer on the first inter-metal dielectric layer. According to the manufacturing method of the semiconductor device, the first inter-metal dielectric layer is subjected to heating treatment and oxidation treatment to form the compact oxide layer at the interface between the first inter-metal dielectric layer and the second inter-metal dielectric layer, so that water vapor erosion and diffusion and precipitation of free F ions are avoided, bubble defects at the edge of a wafer are avoided, and the yield of the wafer is improved.

Description

Semiconductor device and manufacturing method thereof
Technical Field
The invention relates to the technical field of semiconductors, in particular to a manufacturing method of a semiconductor device.
Background
As feature sizes of integrated circuits decrease, critical Dimensions (CD) between metal lines also decrease, and in 0.11um-0.18um intermetal dielectric (Inter-METAL DIELECTRIC, IMD) processes, high density plasma chemical vapor deposition (HIGH DENSITY PLASMA CVD, HDPCVD) is used to form insulating media, and to reduce the dielectric constant between metal lines to increase the transmission rate of the circuits, fluorine doped silicon glass (FSG) is used as the intermetal media.
In general, fluorine-doped silica glass (FSG) has an F content of about 4%, and F ions are mainly in two states in silica glass, namely Si dangling bonds are combined with Si to form Si-F bonds and free F ions. The state of F in the silica glass is mainly influenced by the reaction temperature in the reaction process, when the reaction temperature is too low, excessive free F ions in the fluorine-silica glass can be caused, and free F ions are separated out due to the fact that FSG is relatively loose and easy to adsorb water vapor, and the defect of bubbles appears on a wafer.
Therefore, there is a need to propose a new method for fabricating a semiconductor device to solve the above-mentioned problems.
Disclosure of Invention
In the summary, a series of concepts in a simplified form are introduced, which will be further described in detail in the detailed description. The summary of the invention is not intended to define the key features and essential features of the claimed subject matter, nor is it intended to be used as an aid in determining the scope of the claimed subject matter.
The invention provides a manufacturing method of a semiconductor device, which comprises the following steps:
Providing a wafer, wherein a metal layer is formed on the wafer;
forming a first inter-metal dielectric layer on the wafer;
performing heat treatment on the first inter-metal dielectric layer;
oxidizing the first inter-metal dielectric layer;
A second inter-metal dielectric layer is formed over the first inter-metal dielectric layer.
Further, the first inter-metal dielectric layer comprises fluorine-doped silicon glass and the second inter-metal dielectric layer comprises fluorine-doped silicon glass.
Further, the first inter-metal dielectric layer is formed by high density plasma chemical vapor deposition, and the second inter-metal dielectric layer is formed by plasma enhanced chemical vapor deposition.
Further, the temperature of the heating treatment is in the range of 380 ℃ to 400 ℃.
Further, the oxidation treatment includes an oxygen plasma treatment.
Further, forming a first diffusion barrier layer on the metal layer is preceded by forming a first inter-metal dielectric layer on the wafer.
Further, forming a second inter-metal dielectric layer over the first inter-metal dielectric layer may be followed by forming a second diffusion barrier layer over the second inter-metal dielectric layer.
Further, the first diffusion barrier layer comprises a silicon-rich oxide and the second diffusion barrier layer comprises a silicon-rich oxide.
Further, the metal layer comprises an aluminum copper alloy.
The present invention provides a semiconductor device including:
a wafer on which a metal layer is formed;
A first intermetallic dielectric layer which is heated and oxidized is formed on the wafer;
a second inter-metal dielectric layer is formed on the first inter-metal dielectric layer.
According to the manufacturing method of the semiconductor device, the first inter-metal dielectric layer is subjected to heating treatment and oxidation treatment to form the compact oxide layer at the interface between the first inter-metal dielectric layer and the second inter-metal dielectric layer, so that water vapor erosion and diffusion and precipitation of free F ions are avoided, bubble defects at the edge of a wafer are avoided, and the yield of the wafer is improved.
Drawings
The following drawings are included to provide an understanding of the invention and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and their description to explain the principles of the invention.
In the accompanying drawings:
FIG. 1A is a schematic diagram of a wafer test result including pass chips and fail chips;
FIG. 1B is a scanning electron microscope image of a cross section of a failed chip of the wafer;
FIG. 1C shows wafer test results including pass and fail chips prior to forming fluorine-doped silicon glass using high density plasma chemical vapor deposition;
FIG. 1D shows wafer test results containing pass and fail chips after formation of fluorine-doped silicon glass using high density plasma chemical vapor deposition;
FIGS. 1E and 1F show scanning electron microscope images of cross sections of failed chips after high density plasma chemical vapor deposition;
Fig. 2 is a schematic cross-sectional view of a structure of a semiconductor device according to an exemplary embodiment of the present invention;
fig. 3 is a schematic flow chart of a method of fabricating a semiconductor device according to an exemplary embodiment of the present invention.
Detailed Description
In the following description, numerous specific details are set forth in order to provide a more thorough understanding of the present invention. It will be apparent, however, to one skilled in the art that the invention may be practiced without one or more of these details. In other instances, well-known features have not been described in detail in order to avoid obscuring the invention.
It should be understood that the present invention may be embodied in various forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the drawings, the size of layers and regions, as well as the relative sizes, may be exaggerated for clarity. Like numbers refer to like elements throughout.
It will be understood that when an element or layer is referred to as being "on," "adjacent," "connected to," or "coupled to" another element or layer, it can be directly on, adjacent, connected, or coupled to the other element or layer, or intervening elements or layers may be present. In contrast, when an element is referred to as being "directly on," "directly adjacent to," "directly connected to," or "directly coupled to" another element or layer, there are no intervening elements or layers present. It will be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present invention.
Spatially relative terms, such as "under," "below," "beneath," "under," "above," "over," and the like, may be used herein for ease of description to describe one element or feature's relationship to another element or feature as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use and operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements or features described as "under" or "beneath" other elements would then be oriented "on" the other elements or features. Thus, the exemplary terms "below" and "under" may include both an upper and a lower orientation. The device may be otherwise oriented (rotated 90 degrees or other orientations) and the spatially relative descriptors used herein interpreted accordingly.
The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms "a", "an" and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprises" and/or "comprising," when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. As used herein, the term "and/or" includes any and all combinations of the associated listed items.
In order to provide a thorough understanding of the present invention, detailed steps and detailed structures will be presented in the following description in order to explain the technical solution presented by the present invention. Preferred embodiments of the present invention are described in detail below, however, the present invention may have other embodiments in addition to these detailed descriptions.
For a better understanding of the present invention, the reasons for passing and failing chips of a wafer are first further analyzed in connection with FIG. 1A.
In Back End of Line (BEOL) semiconductor, al/Cu (0.5%) alloys are often used as materials for leads of integrated circuits, and are mainly characterized by low resistance, good conductivity, easy processing, capability of meeting design requirements of chips of different structures, and capability of increasing electromigration resistance by adding an appropriate amount of Cu to Al. Because the melting point of aluminum metal is relatively low, in the process of depositing FSG by HDPCVD, the HDP process has strong Bias Power for enabling charged ions to bombard the surface of a wafer (wafer) so as to improve filling capability, the ion bombardment can raise the temperature of the surface of the wafer, and when the reaction temperature of the wafer is too high, deformation or even melting of Al wires can be caused, so that the reliability of the wafer is affected.
Therefore, flowing helium (He) is introduced into the back of the wafer while the HDP process is applied to prevent aluminum melting caused by the excessive temperature of the wafer. The helium back gas is mainly introduced by two circles of dense holes on a wafer tray (E-Chuck), wherein the Outer circle (Outer) helium back holes are about 7mm from the wafer edge, and the Inner circle (Inner) helium back holes are about 15mm from the wafer edge. As the flow of the helium gas removes heat from the wafer, the region of the lowest temperature of the entire wafer will be the outer ring helium back region. Because the F in the FSG mainly has two forms, namely Si-F bonds and free F ions are formed by combining with Si dangling bonds, a large amount of free F ions are easy to appear when the reaction temperature is too low, F precipitation can be generated when the excessive free F ions are gathered, the F is expressed on a wafer as bubble-shaped defects appear on the surface of the FSG film, and short circuit risks can be caused in the subsequent process.
Specifically, the failed chip located in the edge area of the wafer shown in fig. 1A belongs to a short circuit, that is, the wires are interconnected at a certain position, for the failed chip, the section of the failed chip is analyzed by using an electron scanning microscope (SEM), and bubbles appear in the short circuit area, as shown in fig. 1B, so that the failure mechanism of the failed chip may be that bubbles may appear in the lower layer of an inter-metal dielectric layer (IMD), the through holes are communicated with the bubbles after the subsequent etching to form the through holes (Via), and then W is poured in during the tungsten (W) deposition process, so as to cause a short circuit.
Further, fig. 1C and 1D show the results of wafer testing including pass chips and fail chips before and after forming the fluorine-doped silicon glass by high density plasma chemical vapor deposition, respectively, and it can be seen that the number of fail chips is significantly increased in the step of forming the fluorine-doped silicon glass by high density plasma chemical vapor deposition, and for the fail chips, the cross section of the fail chips is analyzed by using an electron scanning microscope (SEM), and typical edge egg roll-shaped bubbles (as shown in fig. 1E) after the high density plasma chemical vapor deposition and typical edge bubble-shaped bubbles (as shown in fig. 1F) after the high density plasma chemical vapor deposition are observed.
In view of the above problems, the present invention provides a method for manufacturing a semiconductor device, as shown in fig. 2 and 3, including:
Step S310, providing a wafer 200, wherein a metal layer 210 is formed on the wafer 200;
Step 320, forming a first inter-metal dielectric layer 221 on the wafer 200;
step S330, heating the first inter-metal dielectric layer 221;
Step S340, performing an oxidation treatment on the first intermetal dielectric layer 221;
step S350, forming a second inter-metal dielectric layer 222 on the first inter-metal dielectric layer 221.
First, step S310 is performed to provide a wafer 200, and a metal layer 210 is formed on the wafer 200.
Illustratively, the wafer 200 includes a silicon substrate, which may be at least one of single crystal silicon, silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-germanium-on-insulator (S-SiGeOI), silicon-germanium-on-insulator (SiGeOI), germanium-on-insulator (GeOI), and the like. In one embodiment, the silicon substrate may be a P-type or N-type impurity ion implanted silicon substrate, and the specific doping concentration thereof is not limited by the present embodiment.
Illustratively, the wafer 200 further includes an isolation structure, which is a Shallow Trench Isolation (STI) structure or a local silicon oxide (LOCOS) isolation structure, that divides the silicon substrate into different active regions in which various semiconductor devices, such as NMOS and PMOS, may be formed. Various well (well) structures are also formed in the silicon substrate, and are omitted from the drawings for simplicity.
Illustratively, a metal interconnect structure is also formed over the active region, including a multi-layered inter-metal dielectric structure and a multi-layered interconnect metal layer located within the inter-metal dielectric structure, the interconnect metal structure generally including trenches and vias that form connection vias from bottom to top to connect electrodes of the semiconductor device of the active region to pads located at the very top of the metal interconnect structure. In this embodiment, the metal layer 210 is any one of a plurality of interconnection metal layers in a metal interconnection structure.
Illustratively, the metal layer 210 includes an aluminum copper alloy containing about 0.5% copper. Al/Cu (0.5%) alloy is often used as material for the leads of integrated circuits, and is mainly characterized by low resistance, good conductivity, easy processing, capability of meeting the design requirements of chips with different structures, capability of increasing the electromigration resistance by adding proper amount of Cu into Al, and the like.
Illustratively, the metal layer 210 may be formed by one of Low Pressure Chemical Vapor Deposition (LPCVD), laser Ablation Deposition (LAD), and Selective Epitaxial Growth (SEG) formed by a Chemical Vapor Deposition (CVD), physical Vapor Deposition (PVD), atomic Layer Deposition (ALD), or the like. Physical Vapor Deposition (PVD) is preferred in this embodiment.
Further, the metal layer 210 is etched to form an interconnect via. The method for etching the metal layer 210 may be dry etching or wet etching. Illustratively, the dry etching process includes, but is not limited to, reactive Ion Etching (RIE), ion beam etching, plasma etching, laser ablation, or any combination of these methods. A single etching method may be used, or more than one etching method may be used. The source gases for the dry etch may include HBr and/or CF4 gases.
Next, a step of forming a first diffusion barrier layer 231 on the metal layer 210 is performed.
Illustratively, the first diffusion barrier 231 includes a silicon-rich oxide (silicon rich oxide, SRO) layer.
Illustratively, the SRO layer is prepared substantially the same as conventional silicon oxide by a Chemical Vapor Deposition (CVD) method, using a gas mixture containing silane (SiH4), oxygen (O2), and a rare gas such as argon (Ar) as a preparation gas. Wherein, since the silicon content of the SRO is greater than that of the conventional silicon oxide, the ratio of SiH4 to O2 is set to be higher than that used to form the conventional silicon oxide. In addition, another silane gas such as disilane (Si2H6) gas and Tetraethoxysilane (TEOS) gas may be used instead of the monosilane gas. Instead of oxygen, an oxygen-containing gas such as nitrous oxide (N2 O) gas or ozone (O3) may also be used. As an example, when CVD is used to form the SRO layer, the power is 180W-220W, the pressure in the chamber is 2.6Torr-3.0Torr, the flow rate of SiH4 gas is 140sccm-160sccm, the flow rate of N2 O gas is 2400sccm, the deposition time lasts for 4s-5s, and the thickness of the deposited film is equal to or greater than that of the substrate
The use of a silicon-rich oxide as the first diffusion barrier 231 may trap F ions to prevent the F ions in the subsequently formed first inter-metal dielectric layer 221 from diffusing toward the metal layer 210.
Next, step S320 is performed to form a first inter-metal dielectric layer 221 on the wafer 200.
Illustratively, an inter-metal dielectric structure is formed between adjacent interconnect metal layers, the inter-metal dielectric structure being a stacked structure, in this embodiment, the inter-metal dielectric structure includes at least a first inter-metal dielectric layer 221 and a second inter-metal dielectric layer 222, further, the inter-metal dielectric structure further includes a first diffusion barrier layer 231 between the first inter-metal dielectric layer 221 and the interconnect metal layer (i.e., metal layer 210), and a second diffusion barrier layer 232 between the second inter-metal dielectric layer 222 and the interconnect metal layer.
Illustratively, a first inter-metal dielectric layer 221 comprising fluorine doped silicon glass (FSG) is formed on the first diffusion barrier layer 231. Further, the content of F in the FSG is about 4%.
Illustratively, the first inter-metal dielectric layer 221 is formed using High Density Plasma Chemical Vapor Deposition (HDPCVD).
Illustratively, forming the fluorine-doped silica glass is performed in a Chemical Vapor Deposition (CVD) process chamber using a silicon tetrafluoride (SiF4)/silane (SiH4) gas to react with an oxidizing agent to form the fluorine-doped silica glass (FSG). Meanwhile, in the process chamber, a radio frequency power (RFPower) is applied to the reaction gas to form a high density plasma. As an example, in forming FSG using HDPCVD, the power parameters include radio frequency Bias (RF Bias) =3000W-3300W, side RF (RF Side) =3300W-3500W, top RF (RF Top) =1200W-1400W, back helium gas pressure (independenthelium control, IHC) of about 5.8Torr for the Inner ring (Inner), about 8.6Torr for the Outer ring (Outer), pressure of 4mTorr-6mTorr in the chamber, siH4 gas flow of 30sccm-34sccm, silicon tetrafluoride gas flow of 20sccm-25sccm, O2 gas flow of 65sccm-75sccm, deposited film thickness
Meanwhile, flowing He (helium) is introduced into the back surface of the wafer 200 to prevent aluminum melting caused by the excessive temperature of the wafer. The helium back gas is mainly introduced by two circles of dense holes on a wafer tray (E-Chuck), wherein the Outer circle (Outer) helium back holes are about 7mm from the wafer edge, and the Inner circle (Inner) helium back holes are about 15mm from the wafer edge.
As one example, HDPFSG deposition processes include wafer movement into the process chamber, plasma ignition, pre-heating, reactant gas entry, pre-deposition of a protective film, main deposition, bias off, wafer movement out of the process chamber, and the like. The Bias off (Bias off) mainly includes turning off the Bias Power and the reactive gases such as SiH4, and adding to the processing of the first inter-metal dielectric layer 221 after the Bias off and before the wafer is removed from the processing chamber, as described below.
Next, step S330 is performed to heat the first inter-metal dielectric layer 221.
Illustratively, the temperature range of the heat treatment is 380 ℃ to 400 ℃ and the time range of the heat treatment is 10s to 20s.
Further, the power parameters during the heating process include radio frequency Bias (RF Bias) =0w, side radio frequency (RF Side) =3500W-4000W, top radio frequency (RF Top) =2500W-3000W. The pressure during the heat treatment is in the range of 4mTorr to 6mTorr. The back helium gas pressure (IHC) range in the heating treatment process is 2.2Torr-2.4Torr in the Inner ring (Inner) and 3.6Torr-4.0Torr in the Outer ring (Outer), the gas flow rate of argon (Ar) in the heating treatment process is 100sccm-120sccm, and the gas flow rate of oxygen (O2) is 120sccm-130sccm.
By performing the heat treatment on the first inter-metal dielectric layer 221, more free F contained in the first inter-metal dielectric layer 221, especially in the helium-free low temperature ring portion, can volatilize at a higher temperature, and is prevented from being accumulated and separated out at the interface between the first inter-metal dielectric layer 221 and the lower first diffusion barrier layer 231, so that bubble defects between the first inter-metal dielectric layer 221 and the first diffusion barrier layer 231 are avoided. In addition, the heating process volatilizes only free F in the first inter-metal dielectric layer 221, and does not affect the stable F element in the first inter-metal dielectric layer 221, so that the change of the dielectric constant of the first inter-metal dielectric layer 221 is not caused.
Next, step S340 is performed to perform an oxidation process on the first inter-metal dielectric layer 221.
Illustratively, the oxidation process includes an oxygen plasma process to form a dense oxide layer on the surface of the first inter-metal dielectric layer 221.
Illustratively, the time of the oxidation treatment is in the range of 16s to 20s. The power parameters during the oxidation process include radio frequency Bias (RF Bias) =0w, side radio frequency (RF Side) =4600W-4800W, top radio frequency (RF Top) =4600W-4800W. The pressure during the oxidation process is in the range of 4mTorr to 6mTorr. The back helium gas pressure (IHC) in the oxidation treatment process ranges from 4.4Torr to 4.8Torr in the Inner ring (Inner) to 7.5Torr to 7.9Torr in the Outer ring (Outer), and the gas strength of oxygen (O2) in the heating treatment process ranges from 220sccm to 240sccm.
Because the HDP deposited fluorine-doped silica glass has the existence of fluorine, the microstructure of the FSG presents loose multi-surrounding pores, so that the FSG has stronger water vapor adsorption capacity. And a waiting time exists between the formation of the first inter-metal dielectric layer 221 and the start of the formation of the second inter-metal dielectric layer 222, so that after the water vapor in the environment enters the first inter-metal dielectric layer 221, HF is easily formed by combining with F ions, the first inter-metal dielectric layer 221 and the metal layer 210 are corroded, and bubble defects may be formed at the interface between the first diffusion barrier layer 231 and the first inter-metal dielectric layer 221 when water absorption is excessive, which affects the yield. In addition, F in the second intermetal dielectric layer 222 formed later diffuses into the first intermetal dielectric layer 221 below, and F precipitation is generated to cause defects.
Oxygen treatment dissociates O2 with a larger side source power. After the oxygen plasma treatment, the Si dangling bonds at the interface of the first inter-metal dielectric layer 221 are oxidized, so as to form a dense oxide layer. The dense oxide layer has two functions of (1) preventing the first inter-metal dielectric layer 221 from adsorbing water vapor in the environment during the process gap to cause HF corrosion, and (2) blocking the free F interdiffusion between the second inter-metal dielectric layer 222 and the first inter-metal dielectric layer 221 to cause F precipitation, especially generating bubble defects at the wafer edge.
Next, step S350 is performed to form a second inter-metal dielectric layer 222 on the first inter-metal dielectric layer 221.
Illustratively, the second intermetal dielectric layer 222 comprises fluorine doped silicon glass (FSG).
Illustratively, the second inter-metal dielectric layer 222 is formed using Plasma Enhanced Chemical Vapor Deposition (PECVD). As an example, when PECVD is used, the power is 900W-1400W, the temperature in the chamber is heated to 300-500 ℃, the SiH4 gas flow is 250-280 sccm, the SiF4 gas flow is 650-750 sccm, the N2 O gas flow is 9000-11000 sccm, the N2 gas flow is 2000-3000 sccm, and the deposited film layer is thick
Illustratively, forming the fluorine-doped silica glass is performed in a Chemical Vapor Deposition (CVD) process chamber using a silicon tetrafluoride (SiF4)/silane (SiH4) gas to react with an oxidizing agent to form the fluorine-doped silica glass (FSG).
Next, a chemical mechanical polishing is performed on the second inter-metal dielectric layer 222.
Illustratively, by chemical-mechanical polishing (CMP), a perfect surface can be obtained while ensuring material removal efficiency, resulting in a wafer with good flatness.
Next, a second diffusion barrier layer 232 is formed on the second inter-metal dielectric layer 222.
Illustratively, the second diffusion barrier 232 includes a silicon-rich oxide (silicon rich oxide, SRO) layer.
Illustratively, the SRO layer is prepared substantially the same as conventional silicon oxide by a Chemical Vapor Deposition (CVD) method, using a gas mixture of silane (SiH4), oxygen (O2), and a rare gas such as argon (Ar) as a preparation gas. Wherein, since the silicon content of the SRO is greater than that of the conventional silicon oxide, the ratio of SiH4 to O2 is set to be higher than that used to form the conventional silicon oxide. In addition, another silane gas such as disilane (Si2H6) gas and Tetraethoxysilane (TEOS) gas may be used instead of the monosilane gas. Instead of oxygen, an oxygen-containing gas such as nitrous oxide (N2 O) gas or ozone (O3) may also be used. As an example, when CVD is used to form the SRO layer, the power is 180W-220W, the pressure in the chamber is 2.6Torr-3.0Torr, the flow rate of SiH4 gas is 140sccm-160sccm, the flow rate of N2 O gas is 2400sccm, the deposition time lasts for 4s-5s, and the thickness of the deposited film is equal to or greater than that of the substrate
The use of a silicon-rich oxide as the second diffusion barrier 232 may trap F ions to prevent the F ions in the second inter-metal dielectric layer 222 from diffusing toward the upper interconnect metal layer.
Further, the embodiment further includes repeating the steps of forming the metal layer and the inter-metal dielectric structure to form the metal interconnection structure.
The present invention provides a semiconductor device, as shown in fig. 2, comprising:
a wafer 200 on which a metal layer 210 is formed;
the wafer 200 has a first inter-metal dielectric layer 221 formed thereon that is heated and oxidized;
the first inter-metal dielectric layer 221 has a second inter-metal dielectric layer 222 formed thereon.
Illustratively, the wafer 200 includes a silicon substrate, which may be at least one of single crystal silicon, silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-germanium-on-insulator (S-SiGeOI), silicon-germanium-on-insulator (SiGeOI), germanium-on-insulator (GeOI), and the like. In one embodiment, the silicon substrate may be a P-type or N-type impurity ion implanted silicon substrate, and the specific doping concentration thereof is not limited by the present embodiment.
Illustratively, the wafer 200 further includes an isolation structure, which is a Shallow Trench Isolation (STI) structure or a local silicon oxide (LOCOS) isolation structure, that divides the silicon substrate into different active regions in which various semiconductor devices, such as NMOS and PMOS, may be formed. Various well (well) structures are also formed in the silicon substrate, and are omitted from the drawings for simplicity.
Illustratively, a metal interconnect structure is also formed over the active region, including a multi-layered inter-metal dielectric structure and a multi-layered interconnect metal layer located within the inter-metal dielectric structure, the interconnect metal structure generally including trenches and vias that form connection vias from bottom to top to connect electrodes of the semiconductor device of the active region to pads located at the very top of the metal interconnect structure. In this embodiment, the metal layer 210 is any one of a plurality of interconnection metal layers in a metal interconnection structure.
Illustratively, the metal layer 210 includes an aluminum copper alloy containing about 0.5% copper. Al/Cu (0.5%) alloy is often used as material for the leads of integrated circuits, and is mainly characterized by low resistance, good conductivity, easy processing, capability of meeting the design requirements of chips with different structures, capability of increasing the electromigration resistance by adding proper amount of Cu into Al, and the like.
Illustratively, the metal layer 210 has a first diffusion barrier 231 formed thereon.
Illustratively, the first diffusion barrier 231 includes a silicon-rich oxide (silicon rich oxide, SRO) layer.
The use of a silicon-rich oxide as the first diffusion barrier 231 may trap F ions to prevent the F ions in the subsequently formed first inter-metal dielectric layer 221 from diffusing toward the metal layer 210.
Illustratively, the wafer 200 has a first inter-metal dielectric layer 221 formed thereon.
Illustratively, an inter-metal dielectric structure is formed between adjacent interconnect metal layers, the inter-metal dielectric structure being a stacked structure, in this embodiment, the inter-metal dielectric structure includes at least a first inter-metal dielectric layer 221 and a second inter-metal dielectric layer 222, further, the inter-metal dielectric structure further includes a first diffusion barrier layer 231 between the first inter-metal dielectric layer 221 and the interconnect metal layer (i.e., metal layer 210), and a second diffusion barrier layer 232 between the second inter-metal dielectric layer 222 and the interconnect metal layer.
Illustratively, the first intermetal dielectric layer comprises fluorine doped silicon glass (FSG). Further, the content of F in the FSG is about 4%.
Illustratively, the first inter-metal dielectric layer 221 is formed using High Density Plasma Chemical Vapor Deposition (HDPCVD). The first inter-metal dielectric layer 221 is subjected to a heat treatment at a temperature ranging from 380 ℃ to 400 ℃ for a time ranging from 10s to 20s. The first inter-metal dielectric layer 221 is further subjected to an oxidation process including an oxygen plasma process to form a dense oxide layer on the surface of the first inter-metal dielectric layer 221.
Illustratively, a second inter-metal dielectric layer 222 is also formed on the first inter-metal dielectric layer 221. The second inter-metal dielectric layer 222 includes fluorine doped silicon glass (FSG).
Further, a second diffusion barrier layer 232 is formed on the second inter-metal dielectric layer 222. The second diffusion barrier 232 includes a silicon-rich oxide (silicon rich oxide, SRO) layer.
The use of a silicon-rich oxide as the second diffusion barrier 232 may trap F ions to prevent the F ions in the second inter-metal dielectric layer 222 from diffusing toward the upper interconnect metal layer.
According to the manufacturing method of the semiconductor device, the first inter-metal dielectric layer is subjected to heating treatment and oxidation treatment to form the compact oxide layer at the interface between the first inter-metal dielectric layer and the second inter-metal dielectric layer, so that water vapor erosion and diffusion and precipitation of free F ions are avoided, bubble defects at the edge of a wafer are avoided, and the yield of the wafer is improved.
The present invention has been illustrated by the above-described embodiments, but it should be understood that the above-described embodiments are for purposes of illustration and description only and are not intended to limit the invention to the embodiments described. In addition, it will be understood by those skilled in the art that the present invention is not limited to the embodiments described above, and that many variations and modifications are possible in light of the teachings of the invention, which variations and modifications are within the scope of the invention as claimed. The scope of the invention is defined by the appended claims and equivalents thereof.

Claims (8)

Translated fromChinese
1.一种半导体器件的制作方法,其特征在于,包括:1. A method for manufacturing a semiconductor device, comprising:提供晶圆,所述晶圆上形成有金属层,所述金属层包括铝铜合金;Providing a wafer, on which a metal layer is formed, wherein the metal layer comprises an aluminum-copper alloy;在所述晶圆上采用高密度等离子体化学气相沉积形成第一金属间介电层的同时,在所述晶圆背面通入流动的氦气来防止所述晶圆的温度过高造成熔铝现象;While forming a first intermetallic dielectric layer on the wafer using high-density plasma chemical vapor deposition, flowing helium gas is introduced into the back of the wafer to prevent the temperature of the wafer from being too high, thereby causing aluminum melting;对所述第一金属间介电层进行加热处理;performing a heat treatment on the first intermetallic dielectric layer;对所述第一金属间介电层进行氧化处理;performing an oxidation treatment on the first intermetallic dielectric layer;在所述第一金属间介电层上采用等离子体增强化学气相沉积形成第二金属间介电层;forming a second intermetallic dielectric layer on the first intermetallic dielectric layer by plasma enhanced chemical vapor deposition;其中,所述第一金属间介电层包括掺氟硅玻璃,所述第二金属间介电层包括掺氟硅玻璃。The first intermetal dielectric layer includes fluorine-doped silicon glass, and the second intermetal dielectric layer includes fluorine-doped silicon glass.2.如权利要求1所述的半导体器件的制作方法,其特征在于,所述加热处理的温度范围为380℃-400℃。2 . The method for manufacturing a semiconductor device according to claim 1 , wherein the temperature range of the heat treatment is 380° C.-400° C.3.如权利要求1所述的半导体器件的制作方法,其特征在于,所述氧化处理包括氧气等离子体处理。3 . The method for manufacturing a semiconductor device according to claim 1 , wherein the oxidation treatment comprises oxygen plasma treatment.4.如权利要求1所述的半导体器件的制作方法,其特征在于,在所述晶圆上形成第一金属间介电层之前还包括:4. The method for manufacturing a semiconductor device according to claim 1 , further comprising: before forming the first intermetallic dielectric layer on the wafer:在所述金属层上形成第一扩散阻挡层。A first diffusion barrier layer is formed on the metal layer.5.如权利要求4所述的半导体器件的制作方法,其特征在于,在所述第一金属间介电层上形成第二金属间介电层之后还包括:5. The method for manufacturing a semiconductor device according to claim 4 , wherein after forming a second intermetal dielectric layer on the first intermetal dielectric layer, the method further comprises:在所述第二金属间介电层上形成第二扩散阻挡层。A second diffusion barrier layer is formed on the second intermetal dielectric layer.6.如权利要求5所述的半导体器件的制作方法,其特征在于,所述第一扩散阻挡层包括富硅氧化物,所述第二扩散阻挡层包括富硅氧化物。6 . The method for manufacturing a semiconductor device according to claim 5 , wherein the first diffusion barrier layer comprises silicon-rich oxide, and the second diffusion barrier layer comprises silicon-rich oxide.7.如权利要求1所述的半导体器件的制作方法,其特征在于,所述金属层包括铝铜合金。7 . The method for manufacturing a semiconductor device according to claim 1 , wherein the metal layer comprises an aluminum-copper alloy.8.一种半导体器件,其特征在于,包括:8. A semiconductor device, comprising:晶圆,所述晶圆上形成有金属层,所述金属层包括铝铜合金;a wafer having a metal layer formed thereon, the metal layer comprising an aluminum-copper alloy;所述晶圆上形成有经加热并氧化的第一金属间介电层,在采用高密度等离子体化学气相沉积形成所述第一金属间介电层的同时,在所述晶圆背面通入流动的氦气来防止所述晶圆的温度过高造成熔铝现象;A first intermetallic dielectric layer is formed on the wafer after being heated and oxidized. While forming the first intermetallic dielectric layer using high-density plasma chemical vapor deposition, flowing helium gas is introduced into the back of the wafer to prevent the temperature of the wafer from being too high, thereby causing aluminum melting.所述第一金属间介电层上形成有第二金属间介电层;A second intermetal dielectric layer is formed on the first intermetal dielectric layer;其中,在所述第一金属间介电层上采用等离子体增强化学气相沉积形成第二金属间介电层,所述第一金属间介电层包括掺氟硅玻璃,所述第二金属间介电层包括掺氟硅玻璃。Wherein, a second intermetal dielectric layer is formed on the first intermetal dielectric layer by plasma enhanced chemical vapor deposition, the first intermetal dielectric layer comprises fluorine-doped silicon glass, and the second intermetal dielectric layer comprises fluorine-doped silicon glass.
CN202010698027.8A2020-07-202020-07-20 Semiconductor device and manufacturing method thereofActiveCN113964080B (en)

Priority Applications (1)

Application NumberPriority DateFiling DateTitle
CN202010698027.8ACN113964080B (en)2020-07-202020-07-20 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application NumberPriority DateFiling DateTitle
CN202010698027.8ACN113964080B (en)2020-07-202020-07-20 Semiconductor device and manufacturing method thereof

Publications (2)

Publication NumberPublication Date
CN113964080A CN113964080A (en)2022-01-21
CN113964080Btrue CN113964080B (en)2025-08-29

Family

ID=79459733

Family Applications (1)

Application NumberTitlePriority DateFiling Date
CN202010698027.8AActiveCN113964080B (en)2020-07-202020-07-20 Semiconductor device and manufacturing method thereof

Country Status (1)

CountryLink
CN (1)CN113964080B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
CN117153672B (en)*2023-11-012024-01-26粤芯半导体技术股份有限公司Dielectric layer and manufacturing method thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US5763010A (en)*1996-05-081998-06-09Applied Materials, Inc.Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6165915A (en)*1999-08-112000-12-26Taiwan Semiconductor Manufacturing CompanyForming halogen doped glass dielectric layer with enhanced stability

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
TW465042B (en)*2001-01-292001-11-21Macronix Int Co LtdMethod for forming metal/dielectric multi-level connects
KR100591183B1 (en)*2004-12-232006-06-19동부일렉트로닉스 주식회사 Interlayer insulating film formation method of semiconductor device using copper damascene process
US20130168754A1 (en)*2011-12-282013-07-04Macronix International Co., Ltd.Method for fabricating a semiconductor device with increased reliability
CN110556295B (en)*2019-09-262021-08-20上海华虹宏力半导体制造有限公司Semiconductor device and forming method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication numberPriority datePublication dateAssigneeTitle
US5763010A (en)*1996-05-081998-06-09Applied Materials, Inc.Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6165915A (en)*1999-08-112000-12-26Taiwan Semiconductor Manufacturing CompanyForming halogen doped glass dielectric layer with enhanced stability

Also Published As

Publication numberPublication date
CN113964080A (en)2022-01-21

Similar Documents

PublicationPublication DateTitle
CN100373624C (en) Semiconductor memory device and manufacturing method thereof
TWI402887B (en)Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7741226B2 (en)Optimal tungsten through wafer via and process of fabricating same
US8822331B2 (en)Anchored damascene structures
KR100593737B1 (en) Wiring Method and Wiring Structure of Semiconductor Device
US11876050B2 (en)Method for fabricating interconnection using graphene
US8957519B2 (en)Structure and metallization process for advanced technology nodes
CN101661900A (en)Semiconductor device, and manufacturing method thereof
US8815615B2 (en)Method for copper hillock reduction
US6455891B2 (en)Semiconductor device and method for manufacturing the same
JP2010199349A (en)Method for fabricating semiconductor device
CN115602623B (en)Semiconductor device and method for manufacturing the same
US7199043B2 (en)Method of forming copper wiring in semiconductor device
CN113964080B (en) Semiconductor device and manufacturing method thereof
KR100815952B1 (en) Method of forming interlayer insulating film of semiconductor device
JP2006135363A (en)Semiconductor device and method of manufacturing the semiconductor device
JPH10256372A (en)Manufacture of semiconductor device
JP2003115534A (en)Method for manufacturing semiconductor device
JP2001250863A (en)Semiconductor device and method of manufacturing the same
US6060404A (en)In-situ deposition of stop layer and dielectric layer during formation of local interconnects
JPH11111845A (en) Semiconductor device and manufacturing method thereof
CN113539836B (en) Intermetallic dielectric layer and manufacturing method thereof and semiconductor device
US8742587B1 (en)Metal interconnection structure
JP2009117673A (en) Semiconductor device and manufacturing method thereof
US7141503B2 (en)Methods for manufacturing a soft error and defect resistant pre-metal dielectric layer

Legal Events

DateCodeTitleDescription
PB01Publication
PB01Publication
SE01Entry into force of request for substantive examination
SE01Entry into force of request for substantive examination
GR01Patent grant

[8]ページ先頭

©2009-2025 Movatter.jp