Movatterモバイル変換


[0]ホーム

URL:


Skip to content

Navigation Menu

Sign in
Appearance settings

Search code, repositories, users, issues, pull requests...

Provide feedback

We read every piece of feedback, and take your input very seriously.

Saved searches

Use saved searches to filter your results more quickly

Sign up
Appearance settings
#

static-timing-analysis

Here are 24 public repositories matching this topic...

A Fast C++ Header-only Parser for Standard Parasitic Exchange Format (SPEF).

  • UpdatedAug 7, 2022
  • C++

TCL Script automating the frontend of ASIC design

  • UpdatedJun 21, 2023
  • Verilog

UPSET is an automated framework for performing Single Event Transient Analysis and Optimisation for VLSI circuits utilising Static Timing Analysis principles. Documentation at:

  • UpdatedJun 20, 2025
  • Verilog

Incremental k-Critical Path Generation

  • UpdatedAug 6, 2025
  • C++

A Logic Circuit Static Timing Analyzer Implemented in Python 🔌 ⚡ (2018)

  • UpdatedMar 25, 2021
  • HTML

This repository contains all major projects completed as part of the EECS 4612: Digital VLSI Design course at York University. The projects showcase the complete ASIC design flow — from RTL design to GDSII layout — using industry-grade tools like Cadence Genus, Innovus, and Virtuoso.

  • UpdatedJul 12, 2025
  • Verilog

An open-source tool for visualizing and analyzing timing paths extracted from Static Timing Analysis (STA) reports.

  • UpdatedOct 22, 2024
  • HTML

TCL Script to automate the generation of Pre-layout QoR results

  • UpdatedJun 30, 2025
  • Verilog

Vending Machine Design using Verilog HDL built and tested in Vivado Design Suite

  • UpdatedDec 10, 2024
  • Verilog

Microprocessor Design using Verilog HDL built and tested in Vivado Design Suite

  • UpdatedDec 7, 2024
  • Verilog

This project is the script for STA report violated path checks temporarily (not final version due to confidentiality).

  • UpdatedOct 16, 2024
  • C

Executed the complete physical design flow of a 1x3 router, encompassing synthesis, floorplanning, placement, clock tree synthesis, routing, and static timing analysis using Synopsys Fusion Compiler on a 32nm technology node.

  • UpdatedDec 14, 2024
  • Tcl

Given an OPENRoad subcircuit file, this program will use SPICE to simulate worst-case speed-up and slow-down due to the Multiple-Input-Switching effect. Designed for CSE 222B Advanced VLSI SQ25 with Mitchell Tansey.

  • UpdatedJul 30, 2025
  • Python

This project is a part of the report for my 7th semester program elective (EC-4143 VLSI-CAD).

  • UpdatedJan 11, 2024
  • Tcl

Rust bindings for OpenSTA

  • UpdatedSep 6, 2023
  • Rust

Improve this page

Add a description, image, and links to thestatic-timing-analysis topic page so that developers can more easily learn about it.

Curate this topic

Add this topic to your repo

To associate your repository with thestatic-timing-analysis topic, visit your repo's landing page and select "manage topics."

Learn more


[8]ページ先頭

©2009-2025 Movatter.jp