Insemiconductor manufacturing, the3 nm process is the nextdie shrink after the5 nmMOSFET (metal–oxide–semiconductor field-effect transistor)technology node. South Korean chipmakerSamsung started shipping its 3 nmgate all around (GAA) process, named 3GAA, in mid-2022.[1][2] On 29 December 2022, Taiwanese chip manufacturerTSMC announced that volume production using its 3 nmsemiconductor node (N3) was underway with goodyields.[3] An enhanced 3 nm chip process called "N3E" may have started production in 2023.[4] American manufacturerIntel planned to start 3 nm production in 2023.[5][6][7]
Samsung's 3 nm process is based onGAAFET (gate-all-around field-effect transistor) technology, a type ofmulti-gate MOSFET technology, while TSMC's 3 nm process still usesFinFET (fin field-effect transistor) technology,[8] despite TSMC developing GAAFET transistors.[9] Specifically, Samsung plans to use its own variant of GAAFET called MBCFET (multi-bridge channel field-effect transistor).[10] Intel's process (dubbed "Intel 3", without the "nm" suffix) will use a refined, enhanced and optimized version of FinFET technology compared to its previous process nodes in terms of performance gained per watt, use ofEUV lithography, and power and area improvement.[11]
Projected node properties according to International Roadmap for Devices and Systems (2021)[12]
The term "3 nanometer" has no direct relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of theInternational Roadmap for Devices and Systems published by IEEE Standards Association Industry Connection, a 3 nm node is expected to have a contacted gate pitch of 48 nanometers, and a tightest metal pitch of 24 nanometers.[12]
However, in real world commercial practice, 3 nm is used primarily as a marketing term by individual microchip manufacturers (foundries) to refer to a new, improved generation of silicon semiconductor chips in terms of increased transistor density (i.e. a higher degree of miniaturization), increased speed and reduced power consumption.[13][14] There is no industry-wide agreement among different manufacturers about what numbers would define a 3 nm node.[15] Typically the chip manufacturer refers to its own previous process node (in this case the5 nm node) for comparison. For example, TSMC has stated that its 3 nm FinFET chips will reduce power consumption by 25–30% at the same speed, increase speed by 10–15% at the same amount of power and increase transistor density by about 33% compared to its previous 5 nm FinFET chips.[16][17] On the other hand, Samsung has stated that its 3 nm process will reduce power consumption by 45%, improve performance by 23%, and decrease surface area by 16% compared to its previous 5 nm process.[18] EUV lithography faces new challenges at 3 nm which lead to the required use ofmultipatterning.[19]
In late 2016,TSMC announced plans to construct a 5 nm–3 nm nodesemiconductor fabrication plant with a co-commitment investment of around US$15.7 billion.[24]
In 2017, TSMC announced it was to begin construction of the 3 nm semiconductor fabrication plant at theTainan Science Park in Taiwan.[25] TSMC plans to start volume production of the 3 nm process node in 2023.[26][27][28][29][30]
In early 2019,Samsung presented plans to manufacture 3 nmGAAFET (gate-all-aroundfield-effect transistors) at the 3 nm node in 2021, using its own MBCFET transistor structure that uses nanosheets; delivering a 35% performance increase, 50% power reduction and a 45% reduction in area when compared with 7 nm.[32][33][34] Samsung's semiconductor roadmap also included products at 8, 7, 6, 5, and 4 nm nodes.[35][36]
In December 2019, Intel announced plans for 3 nm production in 2025.[37]
In January 2020, Samsung announced the production of the world's first 3 nm GAAFET process prototype, and said that it is targeting mass production in 2021.[38]
In August 2020, TSMC announced details of its "N3" process, which is new rather than being an improvement over its N5 process.[39] Compared with the N5 process, the N3 process should offer a 10–15% (1.10–1.15×) increase in performance, or a 25–35% (1.25–1.35×) decrease in power consumption, with a 1.7× increase in logic density (a scaling factor of 0.58), a 20% increase (0.8 scaling factor) in SRAM cell density, and a 10% increase in analog circuitry density. Since many designs include considerably more SRAM than logic, (a common ratio being 70% SRAM to 30% logic) die shrinks are expected to only be of around 26%. TSMC was planning volume production in the second half of 2022.[40][needs update]
In July 2021, Intel presented brand new process technology roadmap, according to which Intel 3 process (previously named Intel 7+), the company's second node to use EUV and the last one to use FinFET before switching to Intel's RibbonFET transistor architecture, is now scheduled to enter product manufacturing phase in H2 2023.[5][needs update]
In October 2021, Samsung adjusted earlier plans and announced that the company is scheduled to start producing its customers' first 3 nm-based chip designs in the first half of 2022, while its second generation of 3 nm is expected in 2023.[41][needs update]
In June 2022, at TSMC Technology Symposium, the company shared details of its N3E process technology scheduled for volume production in 2023 H2: 1.6× higher logic transistor density, 1.3× higher chip transistor density, 10–15% higher performance at iso power or 30–35% lower power at ISO performance compared to TSMC N5 v1.0 process technology, FinFLEX technology, allowing to intermix libraries with different track heights within a block etc. TSMC also introduced new members of 3 nm process family: high-density variant N3S, high-performance variants N3P and N3X, and N3RF for RF applications.[42][43][44]
In June 2022, Samsung started "initial" production of a low-power, high-performance chip using 3 nm process technology with GAA architecture.[1][45] According to industry sources, Qualcomm has reserved some of 3 nm production capacity from Samsung.[46]
On 25 July 2022, Samsung celebrated the first shipment of 3 nm Gate-All-Around chips to a Chinese cryptocurrency mining firm PanSemi.[47][48][49][50] It was revealed that the newly introduced 3 nm MBCFET process technology offers 16% higher transistor density,[51] 23% higher performance or 45% lower power draw compared to an unspecified 5 nm process technology.[52] Goals for the second-generation 3 nm process technology include up to 35% higher transistor density,[51] further reduction of power draw by up to 50% or higher performance by 30%.[52][53][51]
On 29 December 2022, TSMC announced that volume production using its 3 nm process technology N3 is underway with good yields.[3] The company plans to start volume manufacturing using refined 3 nm process technology called N3E in the second half of 2023.[54]
In December 2022, at IEDM 2022 conference, TSMC disclosed a few details about their 3 nm process technologies: contacted gate pitch of N3 is 45 nm, minimum metal pitch of N3E is 23 nm, and SRAM cell area is 0.0199 μm2 for N3 and 0.021 μm2 for N3E (same as in N5). For N3E process, depending on the number of fins in cells used for design, area scaling compared to N5 2–2 fin cells ranges from 0.64x to 0.85x, performance gains range from 11% to 32% and energy savings range from 12% to 30% (the numbers refer to Cortex-A72 core). TSMC's FinFlex technology allows to intermix cells with different number of fins in a single chip.[55][56][57][58]
Reporting from IEDM 2022, semiconductor industry expert Dick James stated that TSMC's 3 nm processes offered only incremental improvements, because limits have been reached for fin height, gate length, and number of fins per transistor (single fin). After implementation of features such as single diffusion break, contact over active gate and FinFlex, there will be no more room left for improvement of FinFET-based process technologies.[59]
In April 2023, at its Technology Symposium, TSMC revealed some details about their N3P and N3X processes the company had introduced earlier: N3P will offer 5% higher speed or 5–10% lower power and 1.04× higher "chip density" compared to N3E, while N3X will offer 5% speed gain at the cost of ~3.5× higher leakage and the same density compared to N3P. N3P is scheduled to enter volume production in the second half of 2024, and N3X will follow in 2025.[60]
In July 2023, semiconductor industry research firm TechInsights said it has found that Samsung's 3 nm GAA (gate-all-around) process has been incorporated into the crypto miner ASIC (Whatsminer M56S++) from a Chinese manufacturer, MicroBT.[61]
On 7 September 2023, MediaTek and TSMC announced that MediaTek have developed their first 3 nm chip, volume production is expected to commence in 2024.[62]
^INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: More Moore, IEEE, 2021, p. 6, archived fromthe original on 7 August 2022, retrieved7 August 2022, according to which "There is not yet aconsensus on the node naming across different foundries and integrated device manufacturers (IDMs)".
^Schwierz, Frank; Wong, Hei; Liou, Juin J. (2010).Nanometer CMOS. Pan Stanford Publishing. p. 17.ISBN9789814241083.Archived from the original on 24 May 2020. Retrieved11 October 2019.
^Wakabayashi, Hitoshi; Yamagami, Shigeharu; Ikezawa, Nobuyuki; Ogura, Atsushi; Narihiro, Mitsuru; Arai, K.; Ochiai, Y.; Takeuchi, K.; Yamamoto, T.; Mogami, T. (December 2003).Sub-10-nm planar-bulk-CMOS devices using lateral junction control. IEEE International Electron Devices Meeting 2003. pp. 20.7.1–20.7.3.doi:10.1109/IEDM.2003.1269446.ISBN0-7803-7872-5.S2CID2100267.
Bae, Geumjong; Bae, D.-I.; Kang, M.; Hwang, S.M.; Kim, S.S.; Seo, B.; Kwon, T.Y.; Lee, T.J.; Moon, C.; Choi, Y.M.; Oikawa, K.; Masuoka, S.; Chun, K.Y.; Park, S.H.; Shin, H.J.; Kim, J.C.; Bhuwalka, K.K.; Kim, D.H.; Kim, W.J.; Yoo, J.; Jeon, H.Y.; Yang, M.S.; Chung, S.-J.; Kim, D.; Ham, B.H.; Park, K.J.; Kim, W.D.; Park, S.H.; Song, G.; et al. (December 2018).3nm GAA Technology featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications. 2018 IEEE International Electron Devices Meeting (IEDM). pp. 28.7.1–28.7.4.doi:10.1109/IEDM.2018.8614629.ISBN978-1-7281-1987-8.S2CID58673284.